pluto_hdl_adi/projects/ad6676evb/zc706
AndreiGrozav 502989c25f jesd_rst_gen:constraints: Remove invalid false path definitions
The constraint where added to remove timing problems on the reset path.

The constraint paths do not match anymore. The resets are used in a synchronous
way so we don't need the timing exceptions anyway.

Projects affected by this change:
  - daq3
  - adrv9739
  - ad6676evb
  - fmcadc5
  - daq2/kcu105
  - fmcadc2
  - adrv9371x
  - fmcomms11/zc706
  - fmcjesdadc1
2018-04-11 15:09:54 +03:00
..
Makefile Make: Use $(MAKE) for recursive make commands 2018-03-07 07:40:19 +00:00
system_bd.tcl Add .gitattributes file 2015-06-26 11:07:10 +02:00
system_constr.xdc jesd_rst_gen:constraints: Remove invalid false path definitions 2018-04-11 15:09:54 +03:00
system_project.tcl scripts: Change adi_project_create to adi_project_xilinx for creating xilinx projects 2017-06-07 12:06:50 +03:00
system_top.v Connect JESD204 interrupts 2017-07-05 14:37:50 +02:00