pluto_hdl_adi/library/xilinx/axi_xcvrlb
stefan.raus 9d5de2fc21 Update Vivado version to 2020.2
Update vivado version to 2020.2:
 - update default vivado version from 2020.1 to 2020.2
 - add conditions to apply specific contraints only in Out Of Context mode.
 - update DDR controler parameters for vcu118 and kcu105 dev boards
2021-07-29 14:06:42 +03:00
..
Makefile Move Altera IP core dependency tracking to library Makefiles 2018-04-11 15:09:54 +03:00
axi_xcvrlb.v Update Vivado version to 2020.2 2021-07-29 14:06:42 +03:00
axi_xcvrlb_1.v axi_xcvrlb: added new parameters to IP 2020-01-07 16:18:33 +02:00
axi_xcvrlb_constr.xdc constraints: Update constraints 2017-02-24 13:43:32 +02:00
axi_xcvrlb_ip.tcl Update Vivado version to 2020.2 2021-07-29 14:06:42 +03:00