pluto_hdl_adi/projects/m2k/zed
Adrian Costina eda585f0e4 m2k: Connected data[0] and trigger[0] pins to the logic analyzer clock generator input 2 2017-02-27 14:16:32 +02:00
..
Makefile M2K: initial commit 2017-01-31 16:43:40 +02:00
system_bd.tcl M2K: initial commit 2017-01-31 16:43:40 +02:00
system_constr.xdc m2k: Connected data[0] and trigger[0] pins to the logic analyzer clock generator input 2 2017-02-27 14:16:32 +02:00
system_project.tcl remove processing order (no clock def dependency) 2017-02-22 16:02:08 -05:00
system_top.v M2K: initial commit 2017-01-31 16:43:40 +02:00