pluto_hdl_adi/library/axi_hdmi_rx
Istvan Csomortani e116822059 imageon_zc706: Updates and fixes
+ sync the sof to the dma_de signal
+ hdmi_rx_dma is connected to the HP1
+ fix syncronization signal in the CSC module
+ hdmi_rx_clk is asynchronous
2015-03-27 18:57:32 +02:00
..
axi_hdmi_rx.v hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
axi_hdmi_rx_constr.xdc hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
axi_hdmi_rx_core.v imageon_zc706: Updates and fixes 2015-03-27 18:57:32 +02:00
axi_hdmi_rx_ip.tcl hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00