pluto_hdl_adi/projects/fmcjesdadc1/common/fmcjesdadc1_bd.qsys

1299 lines
43 KiB
XML

<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
<component
name="$${FILENAME}"
displayName="$${FILENAME}"
version="1.0"
description=""
tags=""
categories="System" />
<parameter name="bonusData"><![CDATA[bonusData
{
element $${FILENAME}
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element axi_ad9250_0
{
datum _sortIndex
{
value = "11";
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element axi_ad9250_0.s_axi
{
datum baseAddress
{
value = "272695296";
type = "String";
}
}
element axi_ad9250_1
{
datum _sortIndex
{
value = "8";
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element axi_ad9250_1.s_axi
{
datum baseAddress
{
value = "272760832";
type = "String";
}
}
element axi_dmac_0
{
datum _sortIndex
{
value = "9";
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element axi_dmac_0.s_axi
{
datum baseAddress
{
value = "272842752";
type = "String";
}
}
element axi_dmac_1
{
datum _sortIndex
{
value = "6";
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element axi_dmac_1.s_axi
{
datum baseAddress
{
value = "272826368";
type = "String";
}
}
element axi_jesd_xcvr
{
datum _sortIndex
{
value = "13";
type = "int";
}
}
element axi_jesd_xcvr.s_axi
{
datum baseAddress
{
value = "272629760";
type = "String";
}
}
element fmcjesdadc1_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element mem_clk
{
datum _sortIndex
{
value = "2";
type = "int";
}
}
element mem_rst
{
datum _sortIndex
{
value = "3";
type = "int";
}
}
element rx_ref_clk
{
datum _sortIndex
{
value = "4";
type = "int";
}
}
element sys_clk
{
datum _sortIndex
{
value = "0";
type = "int";
}
}
element sys_rst
{
datum _sortIndex
{
value = "1";
type = "int";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria V";
type = "String";
}
}
element util_bsplit
{
datum _sortIndex
{
value = "12";
type = "int";
}
}
element util_cpack_0
{
datum _sortIndex
{
value = "10";
type = "int";
}
}
element util_cpack_1
{
datum _sortIndex
{
value = "7";
type = "int";
}
}
element xcvr_core
{
datum _sortIndex
{
value = "15";
type = "int";
}
}
element xcvr_rst_cntrl
{
datum _sortIndex
{
value = "14";
type = "int";
}
}
element xcvr_rx_pll
{
datum _sortIndex
{
value = "5";
type = "int";
}
}
}
]]></parameter>
<parameter name="clockCrossingAdapter" value="FIFO" />
<parameter name="device" value="5AGTFD7K3F40I3" />
<parameter name="deviceFamily" value="Arria V" />
<parameter name="deviceSpeedGrade" value="3_H3" />
<parameter name="fabricMode" value="QSYS" />
<parameter name="generateLegacySim" value="false" />
<parameter name="generationId" value="0" />
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="hideFromIPCatalog" value="false" />
<parameter name="lockedInterfaceDefinition" value="" />
<parameter name="maxAdditionalLatency" value="2" />
<parameter name="projectName">fmcjesdadc1_a5gt.qpf</parameter>
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="0" />
<parameter name="testBenchDutName" value="" />
<parameter name="timeStamp" value="0" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="axi_ad9250_0_adc_clock" internal="axi_ad9250_0.adc_clock" />
<interface name="axi_ad9250_0_adc_dma_if" internal="axi_ad9250_0.adc_dma_if" />
<interface name="axi_ad9250_0_adc_mon_if" internal="axi_ad9250_0.adc_mon_if" />
<interface
name="axi_ad9250_0_s_axi"
internal="axi_ad9250_0.s_axi"
type="axi4lite"
dir="end" />
<interface name="axi_ad9250_0_xcvr_clk" internal="axi_ad9250_0.xcvr_clk" />
<interface name="axi_ad9250_0_xcvr_data" internal="axi_ad9250_0.xcvr_data" />
<interface name="axi_ad9250_1_adc_clock" internal="axi_ad9250_1.adc_clock" />
<interface name="axi_ad9250_1_adc_dma_if" internal="axi_ad9250_1.adc_dma_if" />
<interface name="axi_ad9250_1_adc_mon_if" internal="axi_ad9250_1.adc_mon_if" />
<interface
name="axi_ad9250_1_s_axi"
internal="axi_ad9250_1.s_axi"
type="axi4lite"
dir="end" />
<interface name="axi_ad9250_1_xcvr_clk" internal="axi_ad9250_1.xcvr_clk" />
<interface name="axi_ad9250_1_xcvr_data" internal="axi_ad9250_1.xcvr_data" />
<interface name="axi_dmac_0_fifo_wr_clock" internal="axi_dmac_0.fifo_wr_clock" />
<interface name="axi_dmac_0_fifo_wr_if" internal="axi_dmac_0.fifo_wr_if" />
<interface
name="axi_dmac_0_intr"
internal="axi_dmac_0.interrupt_sender"
type="interrupt"
dir="end" />
<interface
name="axi_dmac_0_m_axi"
internal="axi_dmac_0.m_dest_axi"
type="axi4"
dir="start" />
<interface
name="axi_dmac_0_s_axi"
internal="axi_dmac_0.s_axi"
type="axi4lite"
dir="end" />
<interface name="axi_dmac_1_fifo_wr_clock" internal="axi_dmac_1.fifo_wr_clock" />
<interface name="axi_dmac_1_fifo_wr_if" internal="axi_dmac_1.fifo_wr_if" />
<interface
name="axi_dmac_1_intr"
internal="axi_dmac_1.interrupt_sender"
type="interrupt"
dir="end" />
<interface
name="axi_dmac_1_m_axi"
internal="axi_dmac_1.m_dest_axi"
type="axi4"
dir="start" />
<interface
name="axi_dmac_1_s_axi"
internal="axi_dmac_1.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_jesd_xcvr_s_axi"
internal="axi_jesd_xcvr.s_axi"
type="axi4lite"
dir="end" />
<interface name="mem_clk" internal="mem_clk.in_clk" type="clock" dir="end" />
<interface name="mem_rst" internal="mem_rst.in_reset" type="reset" dir="end" />
<interface
name="rx_data"
internal="xcvr_core.rx_serial_data"
type="conduit"
dir="end" />
<interface name="rx_ref_clk" internal="rx_ref_clk.in_clk" type="clock" dir="end" />
<interface
name="rx_sync"
internal="axi_jesd_xcvr.if_rx_sync"
type="conduit"
dir="end" />
<interface
name="rx_sysref"
internal="axi_jesd_xcvr.if_rx_ext_sysref_out"
type="conduit"
dir="end" />
<interface name="sys_clk" internal="sys_clk.in_clk" type="clock" dir="end" />
<interface name="sys_rst" internal="sys_rst.in_reset" type="reset" dir="end" />
<interface name="tx_ref_clk" internal="axi_jesd_xcvr.if_tx_ref_clk" />
<module name="axi_ad9250_0" kind="axi_ad9250" version="1.0" enabled="1">
<parameter name="PCORE_DEVICE_TYPE" value="0" />
<parameter name="PCORE_ID" value="0" />
</module>
<module name="axi_ad9250_1" kind="axi_ad9250" version="1.0" enabled="1">
<parameter name="PCORE_DEVICE_TYPE" value="0" />
<parameter name="PCORE_ID" value="1" />
</module>
<module name="axi_dmac_0" kind="axi_dmac" version="1.0" enabled="1">
<parameter name="C_2D_TRANSFER" value="0" />
<parameter name="C_AXI_SLICE_DEST" value="0" />
<parameter name="C_AXI_SLICE_SRC" value="0" />
<parameter name="C_CLKS_ASYNC_DEST_REQ" value="1" />
<parameter name="C_CLKS_ASYNC_REQ_SRC" value="1" />
<parameter name="C_CLKS_ASYNC_SRC_DEST" value="1" />
<parameter name="C_CYCLIC" value="0" />
<parameter name="C_DMA_DATA_WIDTH_DEST" value="256" />
<parameter name="C_DMA_DATA_WIDTH_SRC" value="64" />
<parameter name="C_DMA_LENGTH_WIDTH" value="14" />
<parameter name="C_DMA_TYPE_DEST" value="0" />
<parameter name="C_DMA_TYPE_SRC" value="2" />
<parameter name="C_SYNC_TRANSFER_START" value="0" />
<parameter name="PCORE_ID" value="0" />
</module>
<module name="axi_dmac_1" kind="axi_dmac" version="1.0" enabled="1">
<parameter name="C_2D_TRANSFER" value="0" />
<parameter name="C_AXI_SLICE_DEST" value="0" />
<parameter name="C_AXI_SLICE_SRC" value="0" />
<parameter name="C_CLKS_ASYNC_DEST_REQ" value="1" />
<parameter name="C_CLKS_ASYNC_REQ_SRC" value="1" />
<parameter name="C_CLKS_ASYNC_SRC_DEST" value="1" />
<parameter name="C_CYCLIC" value="0" />
<parameter name="C_DMA_DATA_WIDTH_DEST" value="256" />
<parameter name="C_DMA_DATA_WIDTH_SRC" value="64" />
<parameter name="C_DMA_LENGTH_WIDTH" value="14" />
<parameter name="C_DMA_TYPE_DEST" value="0" />
<parameter name="C_DMA_TYPE_SRC" value="2" />
<parameter name="C_SYNC_TRANSFER_START" value="0" />
<parameter name="PCORE_ID" value="1" />
</module>
<module name="axi_jesd_xcvr" kind="axi_jesd_xcvr" version="1.0" enabled="1">
<parameter name="PCORE_DEVICE_TYPE" value="0" />
<parameter name="PCORE_ID" value="0" />
<parameter name="PCORE_NUM_OF_RX_LANES" value="4" />
<parameter name="PCORE_NUM_OF_TX_LANES" value="4" />
</module>
<module name="mem_clk" kind="altera_clock_bridge" version="15.0" enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="0" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="mem_rst" kind="altera_reset_bridge" version="15.0" enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="0" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module
name="rx_ref_clk"
kind="altera_clock_bridge"
version="15.0"
enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="250000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="sys_clk" kind="altera_clock_bridge" version="15.0" enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="100000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="sys_rst" kind="altera_reset_bridge" version="15.0" enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module name="util_bsplit" kind="util_bsplit" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="64" />
</module>
<module name="util_cpack_0" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="32" />
</module>
<module name="util_cpack_1" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="32" />
</module>
<module name="xcvr_core" kind="altera_jesd204" version="15.0" enabled="1">
<parameter name="ADJCNT" value="0" />
<parameter name="ADJDIR" value="0" />
<parameter name="AUTO_DEVICE" value="5AGTFD7K3F40I3" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="3_H3" />
<parameter name="BID" value="0" />
<parameter name="CF" value="0" />
<parameter name="CS" value="0" />
<parameter name="DATA_PATH" value="RX" />
<parameter name="DEVICE_FAMILY" value="Arria V" />
<parameter name="DID" value="0" />
<parameter name="DLB_TEST" value="0" />
<parameter name="ECC_EN" value="0" />
<parameter name="GUI_CFG_F" value="4" />
<parameter name="GUI_EN_CFG_F" value="false" />
<parameter name="HD" value="0" />
<parameter name="JESDV" value="1" />
<parameter name="K" value="32" />
<parameter name="L" value="4" />
<parameter name="LID0" value="0" />
<parameter name="LID1" value="1" />
<parameter name="LID2" value="2" />
<parameter name="LID3" value="3" />
<parameter name="LID4" value="4" />
<parameter name="LID5" value="5" />
<parameter name="LID6" value="6" />
<parameter name="LID7" value="7" />
<parameter name="M" value="4" />
<parameter name="N" value="16" />
<parameter name="N_PRIME" value="16" />
<parameter name="OPTIMIZE" value="0" />
<parameter name="PCS_CONFIG" value="JESD_PCS_CFG1" />
<parameter name="PHADJ" value="0" />
<parameter name="REFCLK_FREQ" value="250.0" />
<parameter name="RES1" value="0" />
<parameter name="RES2" value="0" />
<parameter name="S" value="1" />
<parameter name="SCR" value="1" />
<parameter name="SUBCLASSV" value="1" />
<parameter name="TERMINATE_RECONFIG_EN" value="false" />
<parameter name="TEST_COMPONENTS_EN" value="false" />
<parameter name="bitrev_en" value="false" />
<parameter name="bonded_mode" value="bonded" />
<parameter name="lane_rate" value="5000.0" />
<parameter name="part_trait_bd" value="ARRIAVB7" />
<parameter name="part_trait_dp" value="5AGTFD7K3F40I3" />
<parameter name="pll_reconfig_enable" value="false" />
<parameter name="pll_type" value="CMU" />
<parameter name="rcfg_jtag_enable" value="false" />
<parameter name="sdc_constraint" value="1.0" />
<parameter name="set_capability_reg_enable" value="false" />
<parameter name="set_csr_soft_logic_enable" value="false" />
<parameter name="set_prbs_soft_logic_enable" value="false" />
<parameter name="set_user_identifier" value="0" />
<parameter name="wrapper_opt" value="base_phy" />
</module>
<module
name="xcvr_rst_cntrl"
kind="altera_xcvr_reset_control"
version="15.0"
enabled="1">
<parameter name="CHANNELS" value="4" />
<parameter name="PLLS" value="1" />
<parameter name="REDUCED_SIM_TIME" value="1" />
<parameter name="RX_ENABLE" value="1" />
<parameter name="RX_PER_CHANNEL" value="0" />
<parameter name="SYNCHRONIZE_PLL_RESET" value="0" />
<parameter name="SYNCHRONIZE_RESET" value="1" />
<parameter name="SYS_CLK_IN_MHZ" value="100" />
<parameter name="TX_ENABLE" value="0" />
<parameter name="TX_PER_CHANNEL" value="0" />
<parameter name="TX_PLL_ENABLE" value="0" />
<parameter name="T_PLL_LOCK_HYST" value="0" />
<parameter name="T_PLL_POWERDOWN" value="1000" />
<parameter name="T_RX_ANALOGRESET" value="40" />
<parameter name="T_RX_DIGITALRESET" value="4000" />
<parameter name="T_TX_DIGITALRESET" value="20" />
<parameter name="device_family" value="Arria V" />
<parameter name="gui_pll_cal_busy" value="0" />
<parameter name="gui_rx_auto_reset" value="0" />
<parameter name="gui_split_interfaces" value="0" />
<parameter name="gui_tx_auto_reset" value="1" />
</module>
<module name="xcvr_rx_pll" kind="altera_pll" version="15.0" enabled="1">
<parameter name="debug_print_output" value="false" />
<parameter name="debug_use_rbc_taf_method" value="false" />
<parameter name="device" value="5AGTFD7K3F40I3" />
<parameter name="device_family" value="Arria V" />
<parameter name="gui_active_clk" value="false" />
<parameter name="gui_actual_output_clock_frequency0" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency1" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency10" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency11" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency12" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency13" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency14" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency15" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency16" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency17" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency2" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency3" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency4" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency5" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency6" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency7" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency8" value="0 MHz" />
<parameter name="gui_actual_output_clock_frequency9" value="0 MHz" />
<parameter name="gui_actual_phase_shift0" value="0" />
<parameter name="gui_actual_phase_shift1" value="0" />
<parameter name="gui_actual_phase_shift10" value="0" />
<parameter name="gui_actual_phase_shift11" value="0" />
<parameter name="gui_actual_phase_shift12" value="0" />
<parameter name="gui_actual_phase_shift13" value="0" />
<parameter name="gui_actual_phase_shift14" value="0" />
<parameter name="gui_actual_phase_shift15" value="0" />
<parameter name="gui_actual_phase_shift16" value="0" />
<parameter name="gui_actual_phase_shift17" value="0" />
<parameter name="gui_actual_phase_shift2" value="0" />
<parameter name="gui_actual_phase_shift3" value="0" />
<parameter name="gui_actual_phase_shift4" value="0" />
<parameter name="gui_actual_phase_shift5" value="0" />
<parameter name="gui_actual_phase_shift6" value="0" />
<parameter name="gui_actual_phase_shift7" value="0" />
<parameter name="gui_actual_phase_shift8" value="0" />
<parameter name="gui_actual_phase_shift9" value="0" />
<parameter name="gui_cascade_counter0" value="false" />
<parameter name="gui_cascade_counter1" value="false" />
<parameter name="gui_cascade_counter10" value="false" />
<parameter name="gui_cascade_counter11" value="false" />
<parameter name="gui_cascade_counter12" value="false" />
<parameter name="gui_cascade_counter13" value="false" />
<parameter name="gui_cascade_counter14" value="false" />
<parameter name="gui_cascade_counter15" value="false" />
<parameter name="gui_cascade_counter16" value="false" />
<parameter name="gui_cascade_counter17" value="false" />
<parameter name="gui_cascade_counter2" value="false" />
<parameter name="gui_cascade_counter3" value="false" />
<parameter name="gui_cascade_counter4" value="false" />
<parameter name="gui_cascade_counter5" value="false" />
<parameter name="gui_cascade_counter6" value="false" />
<parameter name="gui_cascade_counter7" value="false" />
<parameter name="gui_cascade_counter8" value="false" />
<parameter name="gui_cascade_counter9" value="false" />
<parameter name="gui_cascade_outclk_index" value="0" />
<parameter name="gui_channel_spacing" value="0.0" />
<parameter name="gui_clk_bad" value="false" />
<parameter name="gui_device_speed_grade" value="1" />
<parameter name="gui_divide_factor_c0" value="1" />
<parameter name="gui_divide_factor_c1" value="1" />
<parameter name="gui_divide_factor_c10" value="1" />
<parameter name="gui_divide_factor_c11" value="1" />
<parameter name="gui_divide_factor_c12" value="1" />
<parameter name="gui_divide_factor_c13" value="1" />
<parameter name="gui_divide_factor_c14" value="1" />
<parameter name="gui_divide_factor_c15" value="1" />
<parameter name="gui_divide_factor_c16" value="1" />
<parameter name="gui_divide_factor_c17" value="1" />
<parameter name="gui_divide_factor_c2" value="1" />
<parameter name="gui_divide_factor_c3" value="1" />
<parameter name="gui_divide_factor_c4" value="1" />
<parameter name="gui_divide_factor_c5" value="1" />
<parameter name="gui_divide_factor_c6" value="1" />
<parameter name="gui_divide_factor_c7" value="1" />
<parameter name="gui_divide_factor_c8" value="1" />
<parameter name="gui_divide_factor_c9" value="1" />
<parameter name="gui_divide_factor_n" value="1" />
<parameter name="gui_dps_cntr" value="C0" />
<parameter name="gui_dps_dir" value="Positive" />
<parameter name="gui_dps_num" value="1" />
<parameter name="gui_dsm_out_sel" value="1st_order" />
<parameter name="gui_duty_cycle0" value="50" />
<parameter name="gui_duty_cycle1" value="50" />
<parameter name="gui_duty_cycle10" value="50" />
<parameter name="gui_duty_cycle11" value="50" />
<parameter name="gui_duty_cycle12" value="50" />
<parameter name="gui_duty_cycle13" value="50" />
<parameter name="gui_duty_cycle14" value="50" />
<parameter name="gui_duty_cycle15" value="50" />
<parameter name="gui_duty_cycle16" value="50" />
<parameter name="gui_duty_cycle17" value="50" />
<parameter name="gui_duty_cycle2" value="50" />
<parameter name="gui_duty_cycle3" value="50" />
<parameter name="gui_duty_cycle4" value="50" />
<parameter name="gui_duty_cycle5" value="50" />
<parameter name="gui_duty_cycle6" value="50" />
<parameter name="gui_duty_cycle7" value="50" />
<parameter name="gui_duty_cycle8" value="50" />
<parameter name="gui_duty_cycle9" value="50" />
<parameter name="gui_en_adv_params" value="false" />
<parameter name="gui_en_dps_ports" value="false" />
<parameter name="gui_en_phout_ports" value="false" />
<parameter name="gui_en_reconf" value="false" />
<parameter name="gui_enable_cascade_in" value="false" />
<parameter name="gui_enable_cascade_out" value="false" />
<parameter name="gui_enable_mif_dps" value="false" />
<parameter name="gui_feedback_clock" value="Global Clock" />
<parameter name="gui_frac_multiply_factor" value="1" />
<parameter name="gui_fractional_cout" value="32" />
<parameter name="gui_mif_generate" value="false" />
<parameter name="gui_multiply_factor" value="1" />
<parameter name="gui_number_of_clocks" value="1" />
<parameter name="gui_operation_mode" value="direct" />
<parameter name="gui_output_clock_frequency0" value="125.0" />
<parameter name="gui_output_clock_frequency1" value="100.0" />
<parameter name="gui_output_clock_frequency10" value="100.0" />
<parameter name="gui_output_clock_frequency11" value="100.0" />
<parameter name="gui_output_clock_frequency12" value="100.0" />
<parameter name="gui_output_clock_frequency13" value="100.0" />
<parameter name="gui_output_clock_frequency14" value="100.0" />
<parameter name="gui_output_clock_frequency15" value="100.0" />
<parameter name="gui_output_clock_frequency16" value="100.0" />
<parameter name="gui_output_clock_frequency17" value="100.0" />
<parameter name="gui_output_clock_frequency2" value="100.0" />
<parameter name="gui_output_clock_frequency3" value="100.0" />
<parameter name="gui_output_clock_frequency4" value="100.0" />
<parameter name="gui_output_clock_frequency5" value="100.0" />
<parameter name="gui_output_clock_frequency6" value="100.0" />
<parameter name="gui_output_clock_frequency7" value="100.0" />
<parameter name="gui_output_clock_frequency8" value="100.0" />
<parameter name="gui_output_clock_frequency9" value="100.0" />
<parameter name="gui_phase_shift0" value="0" />
<parameter name="gui_phase_shift1" value="0" />
<parameter name="gui_phase_shift10" value="0" />
<parameter name="gui_phase_shift11" value="0" />
<parameter name="gui_phase_shift12" value="0" />
<parameter name="gui_phase_shift13" value="0" />
<parameter name="gui_phase_shift14" value="0" />
<parameter name="gui_phase_shift15" value="0" />
<parameter name="gui_phase_shift16" value="0" />
<parameter name="gui_phase_shift17" value="0" />
<parameter name="gui_phase_shift2" value="0" />
<parameter name="gui_phase_shift3" value="0" />
<parameter name="gui_phase_shift4" value="0" />
<parameter name="gui_phase_shift5" value="0" />
<parameter name="gui_phase_shift6" value="0" />
<parameter name="gui_phase_shift7" value="0" />
<parameter name="gui_phase_shift8" value="0" />
<parameter name="gui_phase_shift9" value="0" />
<parameter name="gui_phase_shift_deg0" value="0.0" />
<parameter name="gui_phase_shift_deg1" value="0.0" />
<parameter name="gui_phase_shift_deg10" value="0.0" />
<parameter name="gui_phase_shift_deg11" value="0.0" />
<parameter name="gui_phase_shift_deg12" value="0.0" />
<parameter name="gui_phase_shift_deg13" value="0.0" />
<parameter name="gui_phase_shift_deg14" value="0.0" />
<parameter name="gui_phase_shift_deg15" value="0.0" />
<parameter name="gui_phase_shift_deg16" value="0.0" />
<parameter name="gui_phase_shift_deg17" value="0.0" />
<parameter name="gui_phase_shift_deg2" value="0.0" />
<parameter name="gui_phase_shift_deg3" value="0.0" />
<parameter name="gui_phase_shift_deg4" value="0.0" />
<parameter name="gui_phase_shift_deg5" value="0.0" />
<parameter name="gui_phase_shift_deg6" value="0.0" />
<parameter name="gui_phase_shift_deg7" value="0.0" />
<parameter name="gui_phase_shift_deg8" value="0.0" />
<parameter name="gui_phase_shift_deg9" value="0.0" />
<parameter name="gui_phout_division" value="1" />
<parameter name="gui_pll_auto_reset" value="Off" />
<parameter name="gui_pll_bandwidth_preset" value="Auto" />
<parameter name="gui_pll_cascading_mode">Create an adjpllin signal to connect with an upstream PLL</parameter>
<parameter name="gui_pll_mode" value="Integer-N PLL" />
<parameter name="gui_ps_units0" value="ps" />
<parameter name="gui_ps_units1" value="ps" />
<parameter name="gui_ps_units10" value="ps" />
<parameter name="gui_ps_units11" value="ps" />
<parameter name="gui_ps_units12" value="ps" />
<parameter name="gui_ps_units13" value="ps" />
<parameter name="gui_ps_units14" value="ps" />
<parameter name="gui_ps_units15" value="ps" />
<parameter name="gui_ps_units16" value="ps" />
<parameter name="gui_ps_units17" value="ps" />
<parameter name="gui_ps_units2" value="ps" />
<parameter name="gui_ps_units3" value="ps" />
<parameter name="gui_ps_units4" value="ps" />
<parameter name="gui_ps_units5" value="ps" />
<parameter name="gui_ps_units6" value="ps" />
<parameter name="gui_ps_units7" value="ps" />
<parameter name="gui_ps_units8" value="ps" />
<parameter name="gui_ps_units9" value="ps" />
<parameter name="gui_refclk1_frequency" value="100.0" />
<parameter name="gui_refclk_switch" value="false" />
<parameter name="gui_reference_clock_frequency" value="250.0" />
<parameter name="gui_switchover_delay" value="0" />
<parameter name="gui_switchover_mode">Automatic Switchover</parameter>
<parameter name="gui_use_locked" value="true" />
</module>
<connection
kind="avalon_streaming"
version="15.0"
start="xcvr_core.jesd204_rx_link"
end="axi_jesd_xcvr.if_rx_ip_avl" />
<connection kind="clock" version="15.0" start="sys_clk.out_clk" end="sys_rst.clk" />
<connection kind="clock" version="15.0" start="mem_clk.out_clk" end="mem_rst.clk" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="xcvr_rst_cntrl.clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="xcvr_core.jesd204_rx_avs_clk" />
<connection
kind="clock"
version="15.0"
start="mem_clk.out_clk"
end="axi_dmac_1.m_dest_axi_clock" />
<connection
kind="clock"
version="15.0"
start="mem_clk.out_clk"
end="axi_dmac_0.m_dest_axi_clock" />
<connection
kind="clock"
version="15.0"
start="rx_ref_clk.out_clk"
end="xcvr_core.pll_ref_clk" />
<connection
kind="clock"
version="15.0"
start="rx_ref_clk.out_clk"
end="xcvr_rx_pll.refclk" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_ad9250_1.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_dmac_0.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_dmac_1.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_jesd_xcvr.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_ad9250_0.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="util_cpack_1.if_adc_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="util_cpack_0.if_adc_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="axi_dmac_1.if_fifo_wr_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="axi_dmac_0.if_fifo_wr_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="axi_ad9250_1.if_rx_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="axi_ad9250_0.if_rx_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="axi_jesd_xcvr.if_rx_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="axi_jesd_xcvr.if_tx_clk" />
<connection
kind="clock"
version="15.0"
start="xcvr_rx_pll.outclk0"
end="xcvr_core.rxlink_clk" />
<connection
kind="conduit"
version="15.0"
start="xcvr_core.dev_lane_aligned"
end="xcvr_core.alldev_lane_aligned">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_data"
end="axi_dmac_0.if_fifo_wr_din">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_1.if_adc_data"
end="axi_dmac_1.if_fifo_wr_din">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_0.if_adc_data_a"
end="util_cpack_0.if_adc_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_1.if_adc_data_a"
end="util_cpack_1.if_adc_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_0.if_adc_data_b"
end="util_cpack_0.if_adc_data_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_1.if_adc_data_b"
end="util_cpack_1.if_adc_data_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_1.if_adc_enable_0"
end="axi_ad9250_1.if_adc_enable_a">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_0.if_adc_enable_a"
end="util_cpack_0.if_adc_enable_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_0.if_adc_enable_b"
end="util_cpack_0.if_adc_enable_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_1.if_adc_enable_b"
end="util_cpack_1.if_adc_enable_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_sync"
end="axi_dmac_0.if_fifo_wr_sync">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_1.if_adc_sync"
end="axi_dmac_1.if_fifo_wr_sync">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_valid_0"
end="axi_ad9250_0.if_adc_valid_a">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_1.if_adc_valid_1"
end="axi_ad9250_1.if_adc_valid_b">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_1.if_adc_valid_a"
end="util_cpack_1.if_adc_valid_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_0.if_adc_valid_b"
end="util_cpack_0.if_adc_valid_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_dmac_0.if_fifo_wr_en"
end="util_cpack_0.if_adc_valid">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_dmac_1.if_fifo_wr_en"
end="util_cpack_1.if_adc_valid">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_dmac_0.if_fifo_wr_overflow"
end="axi_ad9250_0.if_adc_dovf">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_dmac_1.if_fifo_wr_overflow"
end="axi_ad9250_1.if_adc_dovf">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_jesd_xcvr.if_rx_data"
end="util_bsplit.if_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9250_0.if_rx_data"
end="util_bsplit.if_split_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_jesd_xcvr.if_rx_ip_sync"
end="xcvr_core.dev_sync_n">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_jesd_xcvr.if_rx_ip_sysref"
end="xcvr_core.sysref">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_bsplit.if_split_data_1"
end="axi_ad9250_1.if_rx_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="xcvr_rst_cntrl.rx_analogreset"
end="xcvr_core.rx_analogreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="xcvr_core.rx_cal_busy"
end="xcvr_rst_cntrl.rx_cal_busy">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="xcvr_core.rx_digitalreset"
end="xcvr_rst_cntrl.rx_digitalreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="xcvr_rst_cntrl.rx_is_lockedtodata"
end="xcvr_core.rx_islockedtodata">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="xcvr_rst_cntrl.rx_ready"
end="axi_jesd_xcvr.if_rx_ready">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="xcvr_core.sof"
end="axi_jesd_xcvr.if_rx_ip_sof">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="reset"
version="15.0"
start="axi_jesd_xcvr.if_rst"
end="xcvr_rst_cntrl.reset" />
<connection
kind="reset"
version="15.0"
start="axi_jesd_xcvr.if_rst"
end="xcvr_rx_pll.reset" />
<connection
kind="reset"
version="15.0"
start="axi_jesd_xcvr.if_rx_rstn"
end="xcvr_core.rxlink_rst_n" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="util_cpack_0.adc_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="util_cpack_1.adc_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="xcvr_core.jesd204_rx_avs_rst_n" />
<connection
kind="reset"
version="15.0"
start="mem_rst.out_reset"
end="axi_dmac_1.m_dest_axi_reset" />
<connection
kind="reset"
version="15.0"
start="mem_rst.out_reset"
end="axi_dmac_0.m_dest_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="xcvr_rst_cntrl.reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_ad9250_1.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_dmac_0.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_dmac_1.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_jesd_xcvr.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_ad9250_0.s_axi_reset" />
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="FIFO" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="false" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="2" />
</system>