pluto_hdl_adi/projects/scripts
Laszlo Nagy 9c8190f709 adi_project_xilinx.tcl: discover all timing failures
Look for an overall indicator of timing failure.
Create critical warning if timing is failed.
2020-05-26 14:47:38 +03:00
..
adi_board.tcl adi_board: fixed ddr memory mapping for microblaze projects 2020-01-13 12:25:23 +02:00
adi_env.tcl scripts/adi_env.tcl: print in logs system variables are used 2020-05-20 19:07:23 +03:00
adi_make.tcl Add adi make(build) scripts 2018-12-11 14:02:11 +02:00
adi_make_boot_bin.tcl Add adi make(build) scripts 2018-12-11 14:02:11 +02:00
adi_project_intel.tcl adi_project_intel: Enable HPS internal timing 2019-10-02 15:32:17 +03:00
adi_project_xilinx.tcl adi_project_xilinx.tcl: discover all timing failures 2020-05-26 14:47:38 +03:00
adi_tquest.tcl adi_tquest: Improve the timing report generation 2018-08-08 15:09:19 +03:00
adi_xilinx_msg.tcl library/scripts: Rename adi_ip.tcl to adi_ip_xilinx.tcl 2019-06-29 06:53:51 +03:00
project-intel.mk scripts/adi_project_intel: Rename ALT_NIOS_MMU_ENABLED to NIOS_MMU_ENABLED 2019-06-29 06:53:51 +03:00
project-toplevel.mk Add quiet mode to the Makefile system 2018-04-11 15:09:54 +03:00
project-xilinx.mk projects/scripts/*xilinx*: Generate report utilization extra files 2019-10-18 13:42:34 +03:00