pluto_hdl_adi/projects/fmcadc2/vc707
Rejeesh Kutty 0eaa98370e fmcadc2/vc707- spi clock reg can't be on iob 2017-05-19 15:22:33 -04:00
..
Makefile file renamed; sed output; fingers crossed 2017-02-22 15:56:37 -05:00
system_bd.tcl projects/system_bd- adc/dac fifo board designs 2017-02-27 16:06:39 -05:00
system_constr.xdc fmcadc2/vc707- spi clock reg can't be on iob 2017-05-19 15:22:33 -04:00
system_project.tcl fmcadc2: Integrate ad_sysref_gen into the project 2016-12-19 12:16:05 +00:00
system_top.v all: Update license for all hdl source files 2017-05-17 11:52:08 +03:00