pluto_hdl_adi/projects/m2k
Adrian Costina e215a091b2 m2k: standalone, added explicit fclk_clk0 and fclk_clk1 constraints 2017-02-13 12:02:59 +02:00
..
common m2k: Add reset circuitry on the logic_analyzer clock domain 2017-02-13 12:02:11 +02:00
standalone m2k: standalone, added explicit fclk_clk0 and fclk_clk1 constraints 2017-02-13 12:02:59 +02:00
zed M2K: initial commit 2017-01-31 16:43:40 +02:00
Makefile M2K: initial commit 2017-01-31 16:43:40 +02:00