pluto_hdl_adi/projects/imageon
Istvan Csomortani e116822059 imageon_zc706: Updates and fixes
+ sync the sof to the dma_de signal
+ hdmi_rx_dma is connected to the HP1
+ fix syncronization signal in the CSC module
+ hdmi_rx_clk is asynchronous
2015-03-27 18:57:32 +02:00
..
common imageon_zc706: Updates and fixes 2015-03-27 18:57:32 +02:00
zc706 imageon_zc706: Updates and fixes 2015-03-27 18:57:32 +02:00