pluto_hdl_adi/library/jesd204/jesd204_common
Laszlo Nagy b90c2e79dc jesd204_rx: add parameter for input pipeline stages
Pipeline stages must be implemented on register so placer can spread it
cross the device. Use the shreg_extract attribute to avoid SRL
inference.
2019-05-16 13:29:34 +03:00
..
Makefile jesd204: Fix file names 2018-04-11 15:09:54 +03:00
jesd204_common_ip.tcl jesd204: Fix file names 2018-04-11 15:09:54 +03:00
jesd204_eof_generator.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
jesd204_lmfc.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
jesd204_scrambler.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
pipeline_stage.v jesd204_rx: add parameter for input pipeline stages 2019-05-16 13:29:34 +03:00