pluto_hdl_adi/library/axi_ad9643/axi_ad9643_constr.xdc

4 lines
173 B
Plaintext
Executable File

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]