pluto_hdl_adi/projects/fmcomms5/zcu102
Laszlo Nagy 4b13274c55 ad9361/all/system_constr.xdc: remove manual clock definition
Having a clock assigned manually to the clk output pin of the axi_ad9361
let the Vivado timing engine to not ignore the clock insertion delay when
analyzing paths between clk_0 and the manually created clock that has
the same source (clk_0), resulting in timing failure.
2019-04-12 10:48:50 +03:00
..
Makefile fmcomms5: Use new pack/unpack infrastructure 2018-11-28 11:33:11 +02:00
system_bd.tcl Remove deprecated/unused parameters 2019-03-30 11:26:11 +02:00
system_constr.xdc ad9361/all/system_constr.xdc: remove manual clock definition 2019-04-12 10:48:50 +03:00
system_project.tcl zcu102:all_projects: Delete required version tcl variable 2018-04-11 15:09:54 +03:00
system_top.v all/system_top.v: loopback gpio lines 2018-10-04 14:19:37 +03:00