pluto_hdl_adi/library/util_adcfifo/util_adcfifo_constr.xdc

7 lines
170 B
Plaintext

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports dma_clk]]