pluto_hdl_adi/projects/common/zc706/zc706_system_mig_constr.xdc

9 lines
249 B
Plaintext

# clocks
set_property -dict {PACKAGE_PIN H9 IOSTANDARD LVDS} [get_ports sys_clk_p]
set_property -dict {PACKAGE_PIN G9 IOSTANDARD LVDS} [get_ports sys_clk_n]
create_clock -name sys_clk -period 5.00 [get_ports sys_clk_p]