pluto_hdl_adi/library/axi_spdif_tx
Lars-Peter Clausen f60e112b50 axi_spdif: Don't use non-static expressions in port assignments
Fixes a warning from the tools.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:51 +02:00
..
axi_spdif_tx.vhd axi_spdif: Don't use non-static expressions in port assignments 2014-09-30 13:18:51 +02:00
axi_spdif_tx_constr.xdc library: local constraints async groups 2014-08-14 15:09:51 -04:00
axi_spdif_tx_ip.tcl axi_i2s/axi_spdif: Create clock and reset interface for DMA bus 2014-09-29 15:53:32 +02:00
tx_encoder.vhd initial checkin 2014-02-28 14:26:22 -05:00
tx_package.vhd initial checkin 2014-02-28 14:26:22 -05:00