pluto_hdl_adi/library/util_adcfifo/util_adcfifo_constr.sdc

6 lines
169 B
Plaintext

set_false_path -to [get_registers *adc_xfer_req_m_reg[0]*]
set_false_path -to [get_registers *adc_xfer_req_m[0]*]
set_false_path -to [get_registers *cdc_sync_stage1*]