pluto_hdl_adi/projects/ad9434_fmc/zc706
Istvan Csomortani 7115864b4c ad9434_fmc: Remove top level constraints
Remove all the unnecessary top level constraint definitions.
2014-10-20 13:23:37 +03:00
..
system_bd.tcl AD9434: Initial check in of the library and project with ZC706 2014-09-24 18:27:17 +03:00
system_constr.xdc ad9434_fmc: Remove top level constraints 2014-10-20 13:23:37 +03:00
system_project.tcl AD9434: Initial check in of the library and project with ZC706 2014-09-24 18:27:17 +03:00
system_top.v ad9434_fmc: Fix GPIO width 2014-10-20 10:59:30 +03:00