pmsm_stm32/05 永磁同步电机控制MATLAB仿真/PMSM_3bihuan_servo1.mdl

4576 lines
112 KiB
Plaintext

Model {
Name "PMSM_3bihuan_servo1"
Version 8.1
MdlSubVersion 0
GraphicalInterface {
NumRootInports 0
NumRootOutports 0
ParameterArgumentNames ""
ComputedModelVersion "1.425"
NumModelReferences 0
NumTestPointedSignals 0
}
SavedCharacterEncoding "GBK"
SaveDefaultBlockParams on
ScopeRefreshTime 0.035000
OverrideScopeRefreshTime on
DisableAllScopes off
DataTypeOverride "UseLocalSettings"
DataTypeOverrideAppliesTo "AllNumericTypes"
MinMaxOverflowLogging "UseLocalSettings"
MinMaxOverflowArchiveMode "Overwrite"
FPTRunName "Run 1"
MaxMDLFileLineLength 120
UserBdParams "PhysicalModelingChecksum;PhysicalModelingParameterChecksum;PhysicalModelingProducts"
Object {
$PropName "BdWindowsInfo"
$ObjectID 1
$ClassName "Simulink.BDWindowsInfo"
Object {
$PropName "WindowsInfo"
$ObjectID 2
$ClassName "Simulink.WindowInfo"
IsActive [1]
Location [-8.0, 0.0, 1382.0, 744.0]
Object {
$PropName "ModelBrowserInfo"
$ObjectID 3
$ClassName "Simulink.ModelBrowserInfo"
Visible [0]
DockPosition "Left"
Width [50]
Height [50]
Filter [9]
}
Object {
$PropName "ExplorerBarInfo"
$ObjectID 4
$ClassName "Simulink.ExplorerBarInfo"
Visible [1]
}
Object {
$PropName "EditorsInfo"
$ObjectID 5
$ClassName "Simulink.EditorInfo"
IsActive [1]
ViewObjType "SimulinkTopLevel"
LoadSaveID "0"
Extents [1332.0, 567.0]
ZoomFactor [0.6]
Offset [-273.54375262021858, -33.741031581899563]
}
}
}
PhysicalModelingChecksum "2709692367"
PhysicalModelingParameterChecksum "3245848786"
PhysicalModelingProducts "Power_System_Blocks"
Created "Sun Oct 07 18:52:19 2012"
Creator "Administrator"
UpdateHistory "UpdateHistoryNever"
ModifiedByFormat "%<Auto>"
LastModifiedBy "xu"
ModifiedDateFormat "%<Auto>"
LastModifiedDate "Sun Sep 17 11:19:50 2017"
RTWModifiedTimeStamp 427545081
ModelVersionFormat "1.%<AutoIncrement:425>"
ConfigurationManager "None"
SampleTimeColors off
SampleTimeAnnotations off
LibraryLinkDisplay "none"
WideLines off
ShowLineDimensions off
ShowPortDataTypes off
ShowDesignRanges off
ShowLoopsOnError on
IgnoreBidirectionalLines off
ShowStorageClass off
ShowTestPointIcons on
ShowSignalResolutionIcons on
ShowViewerIcons on
SortedOrder off
ExecutionContextIcon off
ShowLinearizationAnnotations on
BlockNameDataTip off
BlockParametersDataTip off
BlockDescriptionStringDataTip off
ToolBar on
StatusBar on
BrowserShowLibraryLinks off
BrowserLookUnderMasks off
SimulationMode "normal"
LinearizationMsg "none"
Profile off
ParamWorkspaceSource "MATLABWorkspace"
AccelSystemTargetFile "accel.tlc"
AccelTemplateMakefile "accel_default_tmf"
AccelMakeCommand "make_rtw"
TryForcingSFcnDF off
Object {
$PropName "DataLoggingOverride"
$ObjectID 6
$ClassName "Simulink.SimulationData.ModelLoggingInfo"
model_ "PMSM_3bihuan_servo1"
Array {
Type "Cell"
Dimension 1
Cell "PMSM_3bihuan_servo1"
PropName "logAsSpecifiedByModels_"
}
Array {
Type "Cell"
Dimension 1
Cell ""
PropName "logAsSpecifiedByModelsSSIDs_"
}
}
RecordCoverage off
CovPath "/"
CovSaveName "covdata"
CovMetricSettings "dw"
CovNameIncrementing off
CovHtmlReporting on
CovForceBlockReductionOff on
covSaveCumulativeToWorkspaceVar on
CovSaveSingleToWorkspaceVar on
CovCumulativeVarName "covCumulativeData"
CovCumulativeReport off
CovReportOnPause on
CovModelRefEnable "Off"
CovExternalEMLEnable off
ExtModeBatchMode off
ExtModeEnableFloating on
ExtModeTrigType "manual"
ExtModeTrigMode "normal"
ExtModeTrigPort "1"
ExtModeTrigElement "any"
ExtModeTrigDuration 1000
ExtModeTrigDurationFloating "auto"
ExtModeTrigHoldOff 0
ExtModeTrigDelay 0
ExtModeTrigDirection "rising"
ExtModeTrigLevel 0
ExtModeArchiveMode "off"
ExtModeAutoIncOneShot off
ExtModeIncDirWhenArm off
ExtModeAddSuffixToVar off
ExtModeWriteAllDataToWs off
ExtModeArmWhenConnect on
ExtModeSkipDownloadWhenConnect off
ExtModeLogAll on
ExtModeAutoUpdateStatusClock on
BufferReuse on
ShowModelReferenceBlockVersion off
ShowModelReferenceBlockIO off
Array {
Type "Handle"
Dimension 1
Simulink.ConfigSet {
$ObjectID 7
Version "1.13.0"
Array {
Type "Handle"
Dimension 10
Simulink.SolverCC {
$ObjectID 8
Version "1.13.0"
StartTime "0.0"
StopTime "0.8"
AbsTol "auto"
FixedStep "auto"
InitialStep "auto"
MaxNumMinSteps "-1"
MaxOrder 5
ZcThreshold "auto"
ConsecutiveZCsStepRelTol "10*128*eps"
MaxConsecutiveZCs "1000"
ExtrapolationOrder 4
NumberNewtonIterations 1
MaxStep "auto"
MinStep "auto"
MaxConsecutiveMinStep "1"
RelTol "1e-3"
SolverMode "Auto"
EnableConcurrentExecution off
ConcurrentTasks off
Solver "ode45"
SolverName "ode45"
SolverJacobianMethodControl "auto"
ShapePreserveControl "DisableAll"
ZeroCrossControl "UseLocalSettings"
ZeroCrossAlgorithm "Nonadaptive"
AlgebraicLoopSolver "TrustRegion"
SolverResetMethod "Fast"
PositivePriorityOrder off
AutoInsertRateTranBlk off
SampleTimeConstraint "Unconstrained"
InsertRTBMode "Whenever possible"
}
Simulink.DataIOCC {
$ObjectID 9
Version "1.13.0"
Decimation "1"
ExternalInput "[t, u]"
FinalStateName "xFinal"
InitialState "xInitial"
LimitDataPoints on
MaxDataPoints "1000"
LoadExternalInput off
LoadInitialState off
SaveFinalState off
SaveCompleteFinalSimState off
SaveFormat "Array"
SignalLoggingSaveFormat "ModelDataLogs"
SaveOutput on
SaveState off
SignalLogging on
DSMLogging on
InspectSignalLogs off
SaveTime on
ReturnWorkspaceOutputs off
StateSaveName "xout"
TimeSaveName "tout"
OutputSaveName "yout"
SignalLoggingName "logsout"
DSMLoggingName "dsmout"
OutputOption "RefineOutputTimes"
OutputTimes "[]"
ReturnWorkspaceOutputsName "out"
Refine "1"
}
Simulink.OptimizationCC {
$ObjectID 10
Version "1.13.0"
Array {
Type "Cell"
Dimension 4
Cell "ZeroExternalMemoryAtStartup"
Cell "ZeroInternalMemoryAtStartup"
Cell "NoFixptDivByZeroProtection"
Cell "OptimizeModelRefInitCode"
PropName "DisabledProps"
}
BlockReduction on
BooleanDataType on
ConditionallyExecuteInputs on
InlineParams off
UseIntDivNetSlope off
UseFloatMulNetSlope off
UseSpecifiedMinMax off
InlineInvariantSignals off
OptimizeBlockIOStorage on
BufferReuse on
EnhancedBackFolding off
StrengthReduction off
ExpressionFolding on
BooleansAsBitfields off
BitfieldContainerType "uint_T"
EnableMemcpy on
MemcpyThreshold 64
PassReuseOutputArgsAs "Structure reference"
ExpressionDepthLimit 2147483647
FoldNonRolledExpr on
LocalBlockOutputs on
RollThreshold 5
SystemCodeInlineAuto off
StateBitsets off
DataBitsets off
UseTempVars off
ZeroExternalMemoryAtStartup on
ZeroInternalMemoryAtStartup on
InitFltsAndDblsToZero on
NoFixptDivByZeroProtection off
EfficientFloat2IntCast off
EfficientMapNaN2IntZero on
OptimizeModelRefInitCode off
LifeSpan "inf"
MaxStackSize "Inherit from target"
BufferReusableBoundary on
SimCompilerOptimization "Off"
AccelVerboseBuild off
ParallelExecutionInRapidAccelerator on
}
Simulink.DebuggingCC {
$ObjectID 11
Version "1.13.0"
RTPrefix "error"
ConsistencyChecking "none"
ArrayBoundsChecking "none"
SignalInfNanChecking "none"
SignalRangeChecking "none"
ReadBeforeWriteMsg "UseLocalSettings"
WriteAfterWriteMsg "UseLocalSettings"
WriteAfterReadMsg "UseLocalSettings"
AlgebraicLoopMsg "warning"
ArtificialAlgebraicLoopMsg "warning"
SaveWithDisabledLinksMsg "warning"
SaveWithParameterizedLinksMsg "warning"
CheckSSInitialOutputMsg on
UnderspecifiedInitializationDetection "Classic"
MergeDetectMultiDrivingBlocksExec "none"
CheckExecutionContextPreStartOutputMsg off
CheckExecutionContextRuntimeOutputMsg off
SignalResolutionControl "UseLocalSettings"
BlockPriorityViolationMsg "warning"
MinStepSizeMsg "warning"
TimeAdjustmentMsg "none"
MaxConsecutiveZCsMsg "error"
MaskedZcDiagnostic "warning"
IgnoredZcDiagnostic "warning"
SolverPrmCheckMsg "warning"
InheritedTsInSrcMsg "warning"
DiscreteInheritContinuousMsg "warning"
MultiTaskDSMMsg "error"
MultiTaskCondExecSysMsg "error"
MultiTaskRateTransMsg "error"
SingleTaskRateTransMsg "none"
TasksWithSamePriorityMsg "warning"
SigSpecEnsureSampleTimeMsg "warning"
CheckMatrixSingularityMsg "none"
IntegerOverflowMsg "warning"
Int32ToFloatConvMsg "warning"
ParameterDowncastMsg "error"
ParameterOverflowMsg "error"
ParameterUnderflowMsg "none"
ParameterPrecisionLossMsg "warning"
ParameterTunabilityLossMsg "warning"
FixptConstUnderflowMsg "none"
FixptConstOverflowMsg "none"
FixptConstPrecisionLossMsg "none"
UnderSpecifiedDataTypeMsg "none"
UnnecessaryDatatypeConvMsg "none"
VectorMatrixConversionMsg "none"
InvalidFcnCallConnMsg "error"
FcnCallInpInsideContextMsg "UseLocalSettings"
SignalLabelMismatchMsg "none"
UnconnectedInputMsg "warning"
UnconnectedOutputMsg "warning"
UnconnectedLineMsg "warning"
SFcnCompatibilityMsg "none"
FrameProcessingCompatibilityMsg "warning"
UniqueDataStoreMsg "none"
BusObjectLabelMismatch "warning"
RootOutportRequireBusObject "warning"
AssertControl "UseLocalSettings"
EnableOverflowDetection off
ModelReferenceIOMsg "none"
ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error"
ModelReferenceVersionMismatchMessage "none"
ModelReferenceIOMismatchMessage "none"
ModelReferenceCSMismatchMessage "none"
UnknownTsInhSupMsg "warning"
ModelReferenceDataLoggingMessage "warning"
ModelReferenceSymbolNameMessage "warning"
ModelReferenceExtraNoncontSigs "error"
StateNameClashWarn "warning"
SimStateInterfaceChecksumMismatchMsg "warning"
SimStateOlderReleaseMsg "error"
InitInArrayFormatMsg "warning"
StrictBusMsg "Warning"
BusNameAdapt "WarnAndRepair"
NonBusSignalsTreatedAsBus "none"
LoggingUnavailableSignals "error"
BlockIODiagnostic "none"
SFUnusedDataAndEventsDiag "warning"
SFUnexpectedBacktrackingDiag "warning"
SFInvalidInputDataAccessInChartInitDiag "warning"
SFNoUnconditionalDefaultTransitionDiag "warning"
SFTransitionOutsideNaturalParentDiag "warning"
SFUnconditionalTransitionShadowingDiag "warning"
SFUndirectedBroadcastEventsDiag "warning"
SFTransitionActionBeforeConditionDiag "warning"
}
Simulink.HardwareCC {
$ObjectID 12
Version "1.13.0"
ProdBitPerChar 8
ProdBitPerShort 16
ProdBitPerInt 32
ProdBitPerLong 32
ProdBitPerLongLong 64
ProdBitPerFloat 32
ProdBitPerDouble 64
ProdBitPerPointer 32
ProdLargestAtomicInteger "Char"
ProdLargestAtomicFloat "None"
ProdIntDivRoundTo "Undefined"
ProdEndianess "Unspecified"
ProdWordSize 32
ProdShiftRightIntArith on
ProdLongLongMode off
ProdHWDeviceType "32-bit Generic"
TargetBitPerChar 8
TargetBitPerShort 16
TargetBitPerInt 32
TargetBitPerLong 32
TargetBitPerLongLong 64
TargetBitPerFloat 32
TargetBitPerDouble 64
TargetBitPerPointer 32
TargetLargestAtomicInteger "Char"
TargetLargestAtomicFloat "None"
TargetShiftRightIntArith on
TargetLongLongMode off
TargetIntDivRoundTo "Undefined"
TargetEndianess "Unspecified"
TargetWordSize 32
TargetTypeEmulationWarnSuppressLevel 0
TargetPreprocMaxBitsSint 32
TargetPreprocMaxBitsUint 32
TargetHWDeviceType "Specified"
TargetUnknown off
ProdEqTarget on
}
Simulink.ModelReferenceCC {
$ObjectID 13
Version "1.13.0"
UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
CheckModelReferenceTargetMessage "error"
EnableParallelModelReferenceBuilds off
ParallelModelReferenceErrorOnInvalidPool on
ParallelModelReferenceMATLABWorkerInit "None"
ModelReferenceNumInstancesAllowed "Multi"
PropagateVarSize "Infer from blocks in model"
ModelReferencePassRootInputsByReference on
ModelReferenceMinAlgLoopOccurrences off
PropagateSignalLabelsOutOfModel off
SupportModelReferenceSimTargetCustomCode off
}
Simulink.SFSimCC {
$ObjectID 14
Version "1.13.0"
SFSimEnableDebug on
SFSimOverflowDetection on
SFSimEcho on
SimBlas on
SimCtrlC on
SimExtrinsic on
SimIntegrity on
SimUseLocalCustomCode off
SimParseCustomCode on
SimBuildMode "sf_incremental_build"
}
Simulink.RTWCC {
$BackupClass "Simulink.RTWCC"
$ObjectID 15
Version "1.13.0"
Array {
Type "Cell"
Dimension 6
Cell "IncludeHyperlinkInReport"
Cell "GenerateTraceInfo"
Cell "GenerateTraceReport"
Cell "GenerateTraceReportSl"
Cell "GenerateTraceReportSf"
Cell "GenerateTraceReportEml"
PropName "DisabledProps"
}
SystemTargetFile "grt.tlc"
GenCodeOnly off
MakeCommand "make_rtw"
GenerateMakefile on
PackageGeneratedCodeAndArtifacts off
TemplateMakefile "grt_default_tmf"
GenerateReport off
SaveLog off
RTWVerbose on
RetainRTWFile off
ProfileTLC off
TLCDebug off
TLCCoverage off
TLCAssert off
ProcessScriptMode "Default"
ConfigurationMode "Optimized"
ConfigAtBuild off
RTWUseLocalCustomCode off
RTWUseSimCustomCode off
IncludeHyperlinkInReport off
LaunchReport off
PortableWordSizes off
GenerateErtSFunction off
CreateSILPILBlock "None"
CodeExecutionProfiling off
CodeExecutionProfileVariable "executionProfile"
CodeProfilingSaveOptions "SummaryOnly"
CodeProfilingInstrumentation off
SILDebugging off
TargetLang "C"
IncludeBusHierarchyInRTWFileBlockHierarchyMap off
IncludeERTFirstTime off
GenerateTraceInfo off
GenerateTraceReport off
GenerateTraceReportSl off
GenerateTraceReportSf off
GenerateTraceReportEml off
GenerateCodeInfo off
GenerateWebview off
GenerateCodeMetricsReport off
GenerateCodeReplacementReport off
RTWCompilerOptimization "Off"
CheckMdlBeforeBuild "Off"
CustomRebuildMode "OnUpdate"
Array {
Type "Handle"
Dimension 2
Simulink.CodeAppCC {
$ObjectID 16
Version "1.13.0"
Array {
Type "Cell"
Dimension 16
Cell "IgnoreCustomStorageClasses"
Cell "InsertBlockDesc"
Cell "SFDataObjDesc"
Cell "SimulinkDataObjDesc"
Cell "DefineNamingRule"
Cell "SignalNamingRule"
Cell "ParamNamingRule"
Cell "InlinedPrmAccess"
Cell "CustomSymbolStr"
Cell "CustomSymbolStrGlobalVar"
Cell "CustomSymbolStrType"
Cell "CustomSymbolStrField"
Cell "CustomSymbolStrFcn"
Cell "CustomSymbolStrBlkIO"
Cell "CustomSymbolStrTmpVar"
Cell "CustomSymbolStrMacro"
PropName "DisabledProps"
}
ForceParamTrailComments off
GenerateComments on
IgnoreCustomStorageClasses on
IgnoreTestpoints off
IncHierarchyInIds off
MaxIdLength 31
PreserveName off
PreserveNameWithParent off
ShowEliminatedStatement off
OperatorAnnotations off
IncAutoGenComments off
SimulinkDataObjDesc off
SFDataObjDesc off
MATLABFcnDesc off
IncDataTypeInIds off
MangleLength 1
CustomSymbolStrGlobalVar "$R$N$M"
CustomSymbolStrType "$N$R$M_T"
CustomSymbolStrField "$N$M"
CustomSymbolStrFcn "$R$N$M$F"
CustomSymbolStrFcnArg "rt$I$N$M"
CustomSymbolStrBlkIO "rtb_$N$M"
CustomSymbolStrTmpVar "$N$M"
CustomSymbolStrMacro "$R$N$M"
DefineNamingRule "None"
ParamNamingRule "None"
SignalNamingRule "None"
InsertBlockDesc off
InsertPolySpaceComments off
SimulinkBlockComments on
MATLABSourceComments off
EnableCustomComments off
InternalIdentifier "Shortened"
InlinedPrmAccess "Literals"
ReqsInCode off
UseSimReservedNames off
}
Simulink.GRTTargetCC {
$BackupClass "Simulink.TargetCC"
$ObjectID 17
Version "1.13.0"
Array {
Type "Cell"
Dimension 15
Cell "IncludeMdlTerminateFcn"
Cell "CombineOutputUpdateFcns"
Cell "SuppressErrorStatus"
Cell "ERTCustomFileBanners"
Cell "GenerateSampleERTMain"
Cell "GenerateTestInterfaces"
Cell "ModelStepFunctionPrototypeControlCompliant"
Cell "CPPClassGenCompliant"
Cell "SupportNonInlinedSFcns"
Cell "PurelyIntegerCode"
Cell "PortableWordSizes"
Cell "SupportComplex"
Cell "SupportAbsoluteTime"
Cell "SupportContinuousTime"
Cell "GenerateAllocFcn"
PropName "DisabledProps"
}
TargetFcnLib "ansi_tfl_table_tmw.mat"
TargetLibSuffix ""
TargetPreCompLibLocation ""
CodeReplacementLibrary "ANSI_C"
UtilityFuncGeneration "Auto"
ERTMultiwordTypeDef "System defined"
ERTMultiwordLength 256
MultiwordLength 2048
GenerateFullHeader on
GenerateSampleERTMain off
GenerateTestInterfaces off
IsPILTarget off
ModelReferenceCompliant on
ParMdlRefBuildCompliant on
CompOptLevelCompliant on
ConcurrentExecutionCompliant on
IncludeMdlTerminateFcn on
GeneratePreprocessorConditionals "Disable all"
CombineOutputUpdateFcns off
CombineSignalStateStructs off
SuppressErrorStatus off
ERTFirstTimeCompliant off
IncludeFileDelimiter "Auto"
ERTCustomFileBanners off
SupportAbsoluteTime on
LogVarNameModifier "rt_"
MatFileLogging on
MultiInstanceERTCode off
SupportNonFinite on
SupportComplex on
PurelyIntegerCode off
SupportContinuousTime on
SupportNonInlinedSFcns on
SupportVariableSizeSignals off
EnableShiftOperators on
ParenthesesLevel "Nominal"
MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization"
ModelStepFunctionPrototypeControlCompliant off
CPPClassGenCompliant off
AutosarCompliant off
GRTInterface on
GenerateAllocFcn off
UseMalloc off
ExtMode off
ExtModeStaticAlloc off
ExtModeTesting off
ExtModeStaticAllocSize 1000000
ExtModeTransport 0
ExtModeMexFile "ext_comm"
ExtModeIntrfLevel "Level1"
RTWCAPISignals off
RTWCAPIParams off
RTWCAPIStates off
RTWCAPIRootIO off
GenerateASAP2 off
}
PropName "Components"
}
}
hdlcoderui.hdlcc {
$ObjectID 18
Version "1.13.0"
Description "HDL Coder custom configuration component"
Name "HDL Coder"
Array {
Type "Cell"
Dimension 1
Cell ""
PropName "HDLConfigFile"
}
HDLCActiveTab "0"
}
SSC.SimscapeCC {
$ObjectID 19
Version "1.0"
Array {
Type "Cell"
Dimension 1
Cell "Name"
PropName "DisabledProps"
}
Name "Simscape"
EditingMode "Full"
ExplicitSolverDiagnosticOptions "warning"
GlobalZcOffDiagnosticOptions "warning"
SimscapeLogType "none"
SimscapeLogSimulationStatistics off
SimscapeLogName "simlog"
SimscapeLogDecimation 1
SimscapeLogLimitData on
SimscapeLogDataHistory 5000
}
PropName "Components"
}
Name "Configuration"
CurrentDlgPage "Solver"
ConfigPrmDlgPosition [ 243, 69, 1123, 699 ]
}
PropName "ConfigurationSets"
}
Simulink.ConfigSet {
$PropName "ActiveConfigurationSet"
$ObjectID 7
}
Object {
$PropName "DataTransfer"
$ObjectID 20
$ClassName "Simulink.GlobalDataTransfer"
DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)"
DefaultTransitionBetweenAsyncTasks "Ensure data integrity only"
DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)"
DefaultExtrapolationMethodBetweenContTasks "None"
AutoInsertRateTranBlk [0]
}
ExplicitPartitioning off
BlockDefaults {
ForegroundColor "black"
BackgroundColor "white"
DropShadow off
NamePlacement "normal"
FontName "Helvetica"
FontSize 10
FontWeight "normal"
FontAngle "normal"
ShowName on
BlockRotation 0
BlockMirror off
}
AnnotationDefaults {
HorizontalAlignment "center"
VerticalAlignment "middle"
ForegroundColor "black"
BackgroundColor "white"
DropShadow off
FontName "Helvetica"
FontSize 10
FontWeight "normal"
FontAngle "normal"
UseDisplayTextAsClickCallback off
}
LineDefaults {
FontName "Helvetica"
FontSize 9
FontWeight "normal"
FontAngle "normal"
}
MaskDefaults {
SelfModifiable "off"
IconFrame "on"
IconOpaque "on"
RunInitForIconRedraw "off"
IconRotate "none"
PortRotate "default"
IconUnits "autoscale"
}
MaskParameterDefaults {
Evaluate "on"
Tunable "on"
NeverSave "off"
Internal "off"
ReadOnly "off"
Enabled "on"
Visible "on"
ToolTip "on"
}
BlockParameterDefaults {
Block {
BlockType BusCreator
Inputs "4"
DisplayOption "none"
OutDataTypeStr "Inherit: auto"
NonVirtualBus off
}
Block {
BlockType BusSelector
OutputSignals "signal1,signal2,signal3"
OutputAsBus off
}
Block {
BlockType Constant
Value "1"
VectorParams1D on
SamplingMode "Sample based"
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Inherit from 'Constant value'"
LockScale off
SampleTime "inf"
FramePeriod "inf"
PreserveConstantTs off
}
Block {
BlockType DataTypeConversion
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Inherit via back propagation"
LockScale off
ConvertRealWorld "Real World Value (RWV)"
RndMeth "Zero"
SaturateOnIntegerOverflow on
SampleTime "-1"
}
Block {
BlockType Fcn
Expr "sin(u[1])"
SampleTime "-1"
}
Block {
BlockType Gain
Gain "1"
Multiplication "Element-wise(K.*u)"
ParamMin "[]"
ParamMax "[]"
ParamDataTypeStr "Inherit: Same as input"
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Same as input"
LockScale off
RndMeth "Floor"
SaturateOnIntegerOverflow on
SampleTime "-1"
}
Block {
BlockType Inport
Port "1"
OutputFunctionCall off
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: auto"
LockScale off
BusOutputAsStruct off
PortDimensions "-1"
VarSizeSig "Inherit"
SampleTime "-1"
SignalType "auto"
SamplingMode "auto"
LatchByDelayingOutsideSignal off
LatchInputForFeedbackSignals off
Interpolate on
}
Block {
BlockType Logic
Operator "AND"
Inputs "2"
IconShape "rectangular"
AllPortsSameDT on
OutDataTypeStr "Inherit: Logical (see Configuration Parameters: Optimization)"
SampleTime "-1"
}
Block {
BlockType MultiPortSwitch
DataPortOrder "One-based contiguous"
Inputs "3"
DataPortIndices "{1,2,3}"
DataPortForDefault "Last data port"
DiagnosticForDefault "Error"
zeroidx off
InputSameDT on
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Inherit via internal rule"
LockScale off
RndMeth "Floor"
SaturateOnIntegerOverflow on
SampleTime "-1"
AllowDiffInputSizes off
}
Block {
BlockType Mux
Inputs "4"
DisplayOption "none"
UseBusObject off
BusObject "BusObject"
NonVirtualBus off
}
Block {
BlockType Outport
Port "1"
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: auto"
LockScale off
BusOutputAsStruct off
PortDimensions "-1"
VarSizeSig "Inherit"
SampleTime "-1"
SignalType "auto"
SamplingMode "auto"
SourceOfInitialOutputValue "Dialog"
OutputWhenDisabled "held"
InitialOutput "[]"
}
Block {
BlockType Product
Inputs "2"
Multiplication "Element-wise(.*)"
CollapseMode "All dimensions"
CollapseDim "1"
InputSameDT on
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Same as first input"
LockScale off
RndMeth "Zero"
SaturateOnIntegerOverflow on
SampleTime "-1"
}
Block {
BlockType Relay
OnSwitchValue "eps"
OffSwitchValue "eps"
OnOutputValue "1"
OffOutputValue "0"
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: All ports same datatype"
LockScale off
InputProcessing "Inherited"
ZeroCross on
SampleTime "-1"
}
Block {
BlockType Scope
ModelBased off
TickLabels "OneTimeTick"
ZoomMode "on"
Grid "on"
TimeRange "auto"
YMin "-5"
YMax "5"
SaveToWorkspace off
SaveName "ScopeData"
DataFormat "Array"
LimitDataPoints on
MaxDataPoints "5000"
Decimation "1"
SampleInput off
SampleTime "-1"
}
Block {
BlockType SubSystem
ShowPortLabels "FromPortIcon"
Permissions "ReadWrite"
PermitHierarchicalResolution "All"
TreatAsAtomicUnit off
CheckFcnCallInpInsideContextMsg off
SystemSampleTime "-1"
RTWFcnNameOpts "Auto"
RTWFileNameOpts "Auto"
FunctionInterfaceSpec "void_void"
RTWMemSecFuncInitTerm "Inherit from model"
RTWMemSecFuncExecute "Inherit from model"
RTWMemSecDataConstants "Inherit from model"
RTWMemSecDataInternal "Inherit from model"
RTWMemSecDataParameters "Inherit from model"
SimViewingDevice off
DataTypeOverride "UseLocalSettings"
DataTypeOverrideAppliesTo "AllNumericTypes"
MinMaxOverflowLogging "UseLocalSettings"
SFBlockType "NONE"
Variant off
GeneratePreprocessorConditionals off
}
Block {
BlockType Sum
IconShape "rectangular"
Inputs "++"
CollapseMode "All dimensions"
CollapseDim "1"
InputSameDT on
AccumDataTypeStr "Inherit: Inherit via internal rule"
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Same as first input"
LockScale off
RndMeth "Floor"
SaturateOnIntegerOverflow on
SampleTime "-1"
}
Block {
BlockType Switch
Criteria "u2 >= Threshold"
Threshold "0"
InputSameDT on
OutMin "[]"
OutMax "[]"
OutDataTypeStr "Inherit: Inherit via internal rule"
LockScale off
RndMeth "Floor"
SaturateOnIntegerOverflow on
ZeroCross on
SampleTime "-1"
AllowDiffInputSizes off
}
Block {
BlockType Trigonometry
Operator "sin"
ApproximationMethod "None"
NumberOfIterations "11"
OutputSignalType "auto"
SampleTime "-1"
}
}
System {
Name "PMSM_3bihuan_servo1"
Location [-8, 0, 1374, 744]
Open on
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "60"
ReportName "simulink-default.rpt"
SIDHighWatermark "138"
Block {
BlockType Reference
Name "3-Phase\nInverter"
SID "1"
Ports [1, 0, 0, 0, 0, 3, 2]
Position [740, 307, 795, 383]
ZOrder -1
BlockMirror on
ShowName off
LibraryVersion "1.2191"
FontName "Verdana"
FontSize 11
SourceBlock "powerlib/Power\nElectronics/Universal Bridge"
SourceType "Universal Bridge"
Arms "3"
SnubberResistance "1e5"
SnubberCapacitance "inf"
Device "IGBT / Diodes"
Ron "1e-3"
Lon "0"
ForwardVoltages "[ 0 0 ]"
ForwardVoltage "0"
GTOparameters "[ 10e-6 , 20e-6 ]"
IGBTparameters "[ 1e-6 , 2e-6 ]"
Measurements "None"
Measurements_2 "None"
converterType "Rectifier"
}
Block {
BlockType Reference
Name "300V"
SID "2"
Ports [0, 0, 0, 0, 0, 1, 1]
Position [660, 320, 680, 355]
ZOrder -2
BlockRotation 270
ShowName off
LibraryVersion "1.2191"
FontName "Verdana"
FontSize 11
SourceBlock "powerlib/Electrical\nSources/DC Voltage Source"
SourceType "DC Voltage Source"
Amplitude "300"
Measurements "Voltage"
}
Block {
BlockType BusCreator
Name "Bus\nCreator"
SID "3"
Ports [3, 1]
Position [1075, 102, 1085, 168]
ZOrder -3
ShowName off
Inputs "3"
DisplayOption "bar"
}
Block {
BlockType BusSelector
Name "Bus\nSelector"
SID "4"
Ports [1, 5]
Position [1035, 274, 1045, 416]
ZOrder -4
ShowName off
OutputSignals "Rotor speed wm (rad/s),Stator current is_d (A),Rotor angle thetam (rad),Stator current is_q"
" (A),Stator current is_a (A)"
Port {
PortNumber 1
Name "<Rotor speed wm (rad/s)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
Port {
PortNumber 2
Name "<Stator current is_d (A)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
Port {
PortNumber 3
Name "<Rotor angle thetam (rad)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
Port {
PortNumber 4
Name "<Stator current is_q (A)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
Port {
PortNumber 5
Name "<Stator current is_a (A)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType BusSelector
Name "Bus\nSelector1"
SID "5"
Ports [1, 3]
Position [1030, 104, 1040, 166]
ZOrder -5
ShowName off
OutputSignals "Stator current is_a (A),Stator current is_b (A),Stator current is_c (A)"
Port {
PortNumber 1
Name "<Stator current is_a (A)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
Port {
PortNumber 2
Name "<Stator current is_b (A)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
Port {
PortNumber 3
Name "<Stator current is_c (A)>"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Constant
Name "Constant"
SID "6"
Position [840, 304, 870, 326]
ZOrder -6
ShowName off
Value "2"
}
Block {
BlockType Constant
Name "Constant1"
SID "7"
Position [925, 485, 955, 515]
ZOrder -7
BlockMirror on
NamePlacement "alternate"
ShowName off
Value "pi/2"
}
Block {
BlockType Constant
Name "Constant2"
SID "8"
Position [380, 150, 410, 180]
ZOrder -8
BlockRotation 270
BlockMirror on
NamePlacement "alternate"
ShowName off
Value "0"
}
Block {
BlockType Constant
Name "Constant3"
SID "9"
Position [15, 320, 45, 350]
ZOrder -9
ShowName off
Value "pi*2"
}
Block {
BlockType Reference
Name "Discrete\nPI Controller"
SID "10"
Ports [1, 1]
Position [230, 319, 275, 351]
ZOrder -10
LibraryVersion "1.664"
SourceBlock "powerlib_extras/Discrete \nControl Blocks/Discrete\nPI Controller"
SourceType "Discrete PI Controller"
Kp "0.01897"
Ki "1.9986"
Par_Limits "[300 -300]"
Init "0"
Ts "50e-6"
Port {
PortNumber 1
Name "CW"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Reference
Name "Discrete\nPI Controller1"
SID "11"
Ports [1, 1]
Position [370, 319, 415, 351]
ZOrder -11
LibraryVersion "1.664"
SourceBlock "powerlib_extras/Discrete \nControl Blocks/Discrete\nPI Controller"
SourceType "Discrete PI Controller"
Kp "50"
Ki "7700"
Par_Limits "[500 -500]"
Init "0"
Ts "50e-6"
Port {
PortNumber 1
Name "CI"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Reference
Name "Discrete\nPI Controller2"
SID "12"
Ports [1, 1]
Position [410, 257, 455, 293]
ZOrder -12
LibraryVersion "1.664"
SourceBlock "powerlib_extras/Discrete \nControl Blocks/Discrete\nPI Controller"
SourceType "Discrete PI Controller"
Kp "60"
Ki "7700"
Par_Limits "[500 -500]"
Init "0"
Ts "50e-6"
}
Block {
BlockType Reference
Name "Discrete\nPI Controller3"
SID "13"
Ports [1, 1]
Position [105, 319, 150, 351]
ZOrder -13
LibraryVersion "1.664"
SourceBlock "powerlib_extras/Discrete \nControl Blocks/Discrete\nPI Controller"
SourceType "Discrete PI Controller"
Kp "140"
Ki "90"
Par_Limits "[1000 -1000]"
Init "0"
Ts "50e-6"
}
Block {
BlockType Gain
Name "Gain"
SID "14"
Position [1000, 460, 1030, 490]
ZOrder -14
BlockMirror on
NamePlacement "alternate"
Gain "2"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain1"
SID "15"
Position [280, 440, 310, 470]
ZOrder -15
BlockMirror on
NamePlacement "alternate"
Gain "9.55"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Reference
Name "Permanent Magnet\nSynchronous Machine1"
SID "16"
Ports [1, 1, 0, 0, 0, 3]
Position [895, 305, 985, 385]
ZOrder -16
ShowName off
LibraryVersion "1.2191"
FontName "Verdana"
FontSize 11
SourceBlock "powerlib/Machines/Permanent Magnet\nSynchronous Machine"
SourceType "Permanent Magnet Synchronous Machine"
NbPhases "3"
FluxDistribution "Sinusoidal"
RotorType "Salient-pole"
MechanicalLoad "Torque Tm"
PresetModel "No"
ShowDetailedParameters on
Resistance "18.7"
Inductance "8.5e-3"
dqInductances "[0.02682 0.02682]"
La "0.000835"
MachineConstant "Flux linkage established by magnets (V.s)"
Flux "0.1852"
VoltageCst "67.1831"
TorqueCst "0.5556"
Flat "120"
Mechanical "[0.0001 0.0008 2]"
PolePairs "2"
InitialConditions "[0,0, 0,0]"
InitialConditions5ph "[0 0 0 0 0 0]"
TsPowergui "0"
TsBlock "-1"
RefAngle "90 degrees behind phase A axis (modified Park)"
}
Block {
BlockType SubSystem
Name "SVPWM"
SID "17"
Ports [2, 1]
Position [575, 303, 625, 367]
ZOrder -17
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "SVPWM"
Location [-8, -8, 1374, 736]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "1"
SID "18"
Position [45, 158, 75, 172]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "2"
SID "19"
Position [45, 198, 75, 212]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Constant
Name "Constant"
SID "20"
Position [90, 320, 120, 350]
ZOrder -3
Value "300"
}
Block {
BlockType Constant
Name "Constant1"
SID "21"
Position [90, 380, 120, 410]
ZOrder -4
Value "0.0002"
}
Block {
BlockType SubSystem
Name "Subsystem"
SID "22"
Ports [2, 1]
Position [195, 143, 250, 227]
ZOrder -5
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "Subsystem"
Location [390, 68, 991, 564]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "1"
SID "23"
Position [25, 98, 55, 112]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "2"
SID "24"
Position [25, 148, 55, 162]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Sum
Name "Add"
SID "25"
Ports [3, 1]
Position [430, 116, 460, 174]
ZOrder -3
Inputs "+++"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Constant
Name "Constant"
SID "26"
Position [170, 25, 200, 55]
ZOrder -4
}
Block {
BlockType Constant
Name "Constant1"
SID "27"
Position [180, 255, 210, 285]
ZOrder -5
Value "0"
}
Block {
BlockType Fcn
Name "Fcn"
SID "28"
Position [165, 130, 225, 160]
ZOrder -6
Expr "(sqrt(3)*u(1)-u(2))/2"
}
Block {
BlockType Fcn
Name "Fcn1"
SID "29"
Position [165, 195, 225, 225]
ZOrder -7
Expr "-(sqrt(3)*u(1)+u(2))/2"
}
Block {
BlockType Gain
Name "Gain"
SID "30"
Position [355, 130, 385, 160]
ZOrder -8
Gain "2"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain1"
SID "31"
Position [355, 195, 385, 225]
ZOrder -9
Gain "4"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Mux
Name "Mux"
SID "32"
Ports [2, 1]
Position [135, 126, 140, 164]
ZOrder -10
ShowName off
Inputs "2"
DisplayOption "bar"
}
Block {
BlockType Mux
Name "Mux1"
SID "33"
Ports [2, 1]
Position [135, 191, 140, 229]
ZOrder -11
ShowName off
Inputs "2"
DisplayOption "bar"
}
Block {
BlockType Switch
Name "a"
SID "34"
Position [275, 75, 305, 105]
ZOrder -12
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Switch
Name "b"
SID "35"
Position [275, 130, 305, 160]
ZOrder -13
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Switch
Name "c"
SID "36"
Position [275, 195, 305, 225]
ZOrder -14
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Outport
Name "s"
SID "37"
Position [490, 138, 520, 152]
ZOrder -15
IconDisplay "Port number"
}
Line {
SrcBlock "1"
SrcPort 1
Points [30, 0; 0, 30]
Branch {
DstBlock "Mux"
DstPort 1
}
Branch {
Points [0, 65]
DstBlock "Mux1"
DstPort 1
}
}
Line {
SrcBlock "Constant"
SrcPort 1
Points [35, 0; 0, 40]
Branch {
DstBlock "a"
DstPort 1
}
Branch {
Points [0, 55]
Branch {
DstBlock "b"
DstPort 1
}
Branch {
Points [0, 65]
DstBlock "c"
DstPort 1
}
}
}
Line {
SrcBlock "2"
SrcPort 1
Points [15, 0]
Branch {
DstBlock "Mux"
DstPort 2
}
Branch {
Points [0, 65]
DstBlock "Mux1"
DstPort 2
}
Branch {
Points [0, -65]
DstBlock "a"
DstPort 2
}
}
Line {
SrcBlock "Mux"
SrcPort 1
DstBlock "Fcn"
DstPort 1
}
Line {
SrcBlock "Fcn"
SrcPort 1
DstBlock "b"
DstPort 2
}
Line {
SrcBlock "Mux1"
SrcPort 1
DstBlock "Fcn1"
DstPort 1
}
Line {
SrcBlock "Fcn1"
SrcPort 1
DstBlock "c"
DstPort 2
}
Line {
SrcBlock "Constant1"
SrcPort 1
Points [35, 0; 0, -50]
Branch {
DstBlock "c"
DstPort 3
}
Branch {
Points [0, -65]
Branch {
DstBlock "b"
DstPort 3
}
Branch {
Points [0, -55]
DstBlock "a"
DstPort 3
}
}
}
Line {
SrcBlock "b"
SrcPort 1
DstBlock "Gain"
DstPort 1
}
Line {
SrcBlock "c"
SrcPort 1
DstBlock "Gain1"
DstPort 1
}
Line {
SrcBlock "Gain"
SrcPort 1
DstBlock "Add"
DstPort 2
}
Line {
SrcBlock "a"
SrcPort 1
Points [50, 0; 0, 35]
DstBlock "Add"
DstPort 1
}
Line {
SrcBlock "Gain1"
SrcPort 1
Points [10, 0; 0, -45]
DstBlock "Add"
DstPort 3
}
Line {
SrcBlock "Add"
SrcPort 1
DstBlock "s"
DstPort 1
}
}
}
Block {
BlockType SubSystem
Name "Subsystem1"
SID "38"
Ports [4, 3]
Position [200, 261, 260, 349]
ZOrder -6
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "Subsystem1"
Location [292, 109, 853, 600]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "1"
SID "39"
Position [25, 33, 55, 47]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "2"
SID "40"
Position [25, 98, 55, 112]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "3"
SID "41"
Position [25, 153, 55, 167]
ZOrder -3
Port "3"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "U"
SID "42"
Position [25, 213, 55, 227]
ZOrder -4
Port "4"
IconDisplay "Port number"
}
Block {
BlockType Sum
Name "Add"
SID "43"
Ports [2, 1]
Position [185, 32, 215, 63]
ZOrder -5
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add1"
SID "44"
Ports [2, 1]
Position [190, 197, 220, 228]
ZOrder -6
Inputs "+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Divide"
SID "45"
Ports [3, 1]
Position [325, 133, 355, 187]
ZOrder -7
Inputs "**/"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Divide1"
SID "46"
Ports [3, 1]
Position [265, 43, 295, 97]
ZOrder -8
Inputs "**/"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Divide2"
SID "47"
Ports [3, 1]
Position [275, 188, 305, 242]
ZOrder -9
Inputs "**/"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain"
SID "48"
Position [105, 90, 135, 120]
ZOrder -10
Gain "sqrt(3)"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain1"
SID "49"
Position [105, 25, 135, 55]
ZOrder -11
Gain "3"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain2"
SID "50"
Position [375, 200, 405, 230]
ZOrder -12
Gain "0.5"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain3"
SID "51"
Position [380, 100, 410, 130]
ZOrder -13
Gain "0.5"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Outport
Name "a"
SID "52"
Position [450, 43, 480, 57]
ZOrder -14
IconDisplay "Port number"
}
Block {
BlockType Outport
Name "b"
SID "53"
Position [450, 108, 480, 122]
ZOrder -15
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Outport
Name "c"
SID "54"
Position [445, 208, 475, 222]
ZOrder -16
Port "3"
IconDisplay "Port number"
}
Line {
SrcBlock "2"
SrcPort 1
DstBlock "Gain"
DstPort 1
}
Line {
SrcBlock "Gain"
SrcPort 1
Points [25, 0]
Branch {
Points [0, -50]
DstBlock "Add"
DstPort 2
}
Branch {
Points [0, 35]
Branch {
DstBlock "Divide"
DstPort 1
}
Branch {
Points [0, 65]
DstBlock "Add1"
DstPort 1
}
}
}
Line {
SrcBlock "3"
SrcPort 1
Points [170, 0]
Branch {
DstBlock "Divide"
DstPort 2
}
Branch {
Points [0, -90]
DstBlock "Divide1"
DstPort 2
}
Branch {
Points [0, 35]
DstBlock "Divide2"
DstPort 1
}
}
Line {
SrcBlock "U"
SrcPort 1
Points [65, 0; 0, -40; 125, 0]
Branch {
DstBlock "Divide"
DstPort 3
}
Branch {
DstBlock "Divide1"
DstPort 3
}
Branch {
Points [0, 55]
DstBlock "Divide2"
DstPort 3
}
}
Line {
SrcBlock "1"
SrcPort 1
DstBlock "Gain1"
DstPort 1
}
Line {
SrcBlock "Gain1"
SrcPort 1
Points [5, 0]
Branch {
DstBlock "Add"
DstPort 1
}
Branch {
Points [0, 180]
DstBlock "Add1"
DstPort 2
}
}
Line {
SrcBlock "Add"
SrcPort 1
DstBlock "Divide1"
DstPort 1
}
Line {
SrcBlock "Add1"
SrcPort 1
DstBlock "Divide2"
DstPort 2
}
Line {
SrcBlock "Divide2"
SrcPort 1
DstBlock "Gain2"
DstPort 1
}
Line {
SrcBlock "Gain2"
SrcPort 1
DstBlock "c"
DstPort 1
}
Line {
SrcBlock "Divide"
SrcPort 1
Points [5, 0; 0, -110]
DstBlock "a"
DstPort 1
}
Line {
SrcBlock "Divide1"
SrcPort 1
Points [40, 0; 0, 45]
DstBlock "Gain3"
DstPort 1
}
Line {
SrcBlock "Gain3"
SrcPort 1
DstBlock "b"
DstPort 1
}
}
}
Block {
BlockType SubSystem
Name "Subsystem2"
SID "55"
Ports [5, 2]
Position [360, 265, 420, 405]
ZOrder -7
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "Subsystem2"
Location [327, 70, 1170, 775]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "a"
SID "56"
Position [25, 133, 55, 147]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "b"
SID "57"
Position [30, 193, 60, 207]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "c"
SID "58"
Position [30, 248, 60, 262]
ZOrder -3
Port "3"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "s"
SID "59"
Position [30, 33, 60, 47]
ZOrder -4
Port "4"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "T3"
SID "60"
Position [35, 393, 65, 407]
ZOrder -5
Port "5"
IconDisplay "Port number"
}
Block {
BlockType Sum
Name "Add"
SID "61"
Ports [2, 1]
Position [370, 206, 400, 239]
ZOrder -6
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add2"
SID "62"
Ports [2, 1]
Position [465, 202, 495, 233]
ZOrder -7
Inputs "+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Divide"
SID "63"
Ports [3, 1]
Position [465, 129, 495, 181]
ZOrder -8
Inputs "**/"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Divide1"
SID "64"
Ports [3, 1]
Position [465, 289, 495, 341]
ZOrder -9
Inputs "**/"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain"
SID "65"
Position [110, 150, 140, 180]
ZOrder -10
Gain "-1"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain1"
SID "66"
Position [110, 205, 140, 235]
ZOrder -11
Gain "-1"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "Gain2"
SID "67"
Position [110, 280, 140, 310]
ZOrder -12
Gain "-1"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType MultiPortSwitch
Name "Multiport\nSwitch"
SID "68"
Ports [7, 1]
Position [290, 28, 325, 172]
ZOrder -13
Inputs "6"
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType MultiPortSwitch
Name "Multiport\nSwitch1"
SID "69"
Ports [7, 1]
Position [290, 213, 325, 357]
ZOrder -14
Inputs "6"
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Switch
Name "Switch2"
SID "70"
Position [560, 197, 590, 243]
ZOrder -15
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Switch
Name "Switch3"
SID "71"
Position [560, 277, 590, 323]
ZOrder -16
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Outport
Name "1"
SID "72"
Position [635, 213, 665, 227]
ZOrder -17
IconDisplay "Port number"
}
Block {
BlockType Outport
Name "2"
SID "73"
Position [635, 293, 665, 307]
ZOrder -18
Port "2"
IconDisplay "Port number"
}
Line {
SrcBlock "s"
SrcPort 1
Points [195, 0]
Branch {
DstBlock "Multiport\nSwitch"
DstPort 1
}
Branch {
Labels [1, 0]
Points [0, 185]
DstBlock "Multiport\nSwitch1"
DstPort 1
}
}
Line {
SrcBlock "b"
SrcPort 1
Points [15, 0]
Branch {
Points [0, 20]
DstBlock "Gain1"
DstPort 1
}
Branch {
Points [115, 0]
Branch {
Labels [-1, 1]
Points [0, -120]
DstBlock "Multiport\nSwitch"
DstPort 3
}
Branch {
Labels [-1, 1]
Points [0, 45]
DstBlock "Multiport\nSwitch1"
DstPort 2
}
}
}
Line {
SrcBlock "a"
SrcPort 1
Points [20, 0]
Branch {
Points [0, 25]
DstBlock "Gain"
DstPort 1
}
Branch {
Points [100, 0; 0, 0]
Branch {
Labels [-1, 1]
DstBlock "Multiport\nSwitch"
DstPort 6
}
Branch {
Labels [-1, 1]
Points [0, 145]
DstBlock "Multiport\nSwitch1"
DstPort 4
}
}
}
Line {
SrcBlock "c"
SrcPort 1
Points [15, 0]
Branch {
Points [0, 40]
DstBlock "Gain2"
DstPort 1
}
Branch {
Points [130, 0]
Branch {
Labels [-1, 1]
Points [0, -195]
DstBlock "Multiport\nSwitch"
DstPort 2
}
Branch {
Labels [-1, 1]
Points [0, 50]
DstBlock "Multiport\nSwitch1"
DstPort 5
}
}
}
Line {
SrcBlock "Multiport\nSwitch"
SrcPort 1
Points [15, 0]
Branch {
Points [0, 115]
DstBlock "Add"
DstPort 1
}
Branch {
Points [90, 0]
Branch {
Points [0, 40]
DstBlock "Divide"
DstPort 1
}
Branch {
Points [105, 0; 0, 105]
DstBlock "Switch2"
DstPort 1
}
}
}
Line {
SrcBlock "Multiport\nSwitch1"
SrcPort 1
Points [15, 0]
Branch {
Points [0, -55]
DstBlock "Add"
DstPort 2
}
Branch {
Points [100, 0]
Branch {
DstBlock "Switch3"
DstPort 1
}
Branch {
Points [0, 15]
DstBlock "Divide1"
DstPort 1
}
}
}
Line {
SrcBlock "T3"
SrcPort 1
Points [350, 0; 0, -85]
Branch {
DstBlock "Divide1"
DstPort 2
}
Branch {
Points [0, -105]
Branch {
Points [0, -55]
DstBlock "Divide"
DstPort 2
}
Branch {
DstBlock "Add2"
DstPort 1
}
}
}
Line {
SrcBlock "Add2"
SrcPort 1
Points [15, 0]
Branch {
DstBlock "Switch2"
DstPort 2
}
Branch {
Points [0, 80]
DstBlock "Switch3"
DstPort 2
}
}
Line {
SrcBlock "Divide"
SrcPort 1
Points [25, 0; 0, 80]
DstBlock "Switch2"
DstPort 3
}
Line {
SrcBlock "Divide1"
SrcPort 1
DstBlock "Switch3"
DstPort 3
}
Line {
SrcBlock "Switch3"
SrcPort 1
DstBlock "2"
DstPort 1
}
Line {
SrcBlock "Switch2"
SrcPort 1
DstBlock "1"
DstPort 1
}
Line {
SrcBlock "Add"
SrcPort 1
Points [30, 0]
Branch {
Points [0, -55]
DstBlock "Divide"
DstPort 3
}
Branch {
Points [0, 105]
DstBlock "Divide1"
DstPort 3
}
Branch {
DstBlock "Add2"
DstPort 2
}
}
Line {
SrcBlock "Gain1"
SrcPort 1
Points [90, 0]
Branch {
Labels [-1, 1]
Points [0, 105]
DstBlock "Multiport\nSwitch1"
DstPort 6
}
Branch {
Labels [-1, 1]
Points [0, -60]
DstBlock "Multiport\nSwitch"
DstPort 7
}
}
Line {
SrcBlock "Gain2"
SrcPort 1
Points [100, 0]
Branch {
Labels [-1, 1]
Points [0, -195]
DstBlock "Multiport\nSwitch"
DstPort 4
}
Branch {
Labels [-1, 1]
Points [0, 50]
DstBlock "Multiport\nSwitch1"
DstPort 7
}
}
Line {
SrcBlock "Gain"
SrcPort 1
Points [80, 0]
Branch {
Labels [-1, 1]
Points [0, -45]
DstBlock "Multiport\nSwitch"
DstPort 5
}
Branch {
Labels [-1, 1]
Points [0, 100]
DstBlock "Multiport\nSwitch1"
DstPort 3
}
}
}
}
Block {
BlockType SubSystem
Name "Subsystem3"
SID "74"
Ports [4, 3]
Position [495, 258, 560, 367]
ZOrder -8
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "Subsystem3"
Location [225, 185, 923, 797]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "s"
SID "75"
Position [25, 33, 55, 47]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "T1"
SID "76"
Position [25, 73, 55, 87]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "T2"
SID "77"
Position [25, 163, 55, 177]
ZOrder -3
Port "3"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "T3"
SID "78"
Position [25, 293, 55, 307]
ZOrder -4
Port "4"
IconDisplay "Port number"
}
Block {
BlockType MultiPortSwitch
Name " "
SID "79"
Ports [7, 1]
Position [450, 157, 485, 273]
ZOrder -5
Inputs "6"
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType MultiPortSwitch
Name " "
SID "80"
Ports [7, 1]
Position [450, 27, 485, 143]
ZOrder -6
Inputs "6"
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType MultiPortSwitch
Name " "
SID "81"
Ports [7, 1]
Position [450, 287, 485, 403]
ZOrder -7
Inputs "6"
InputSameDT off
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add"
SID "82"
Ports [3, 1]
Position [160, 69, 190, 121]
ZOrder -8
Inputs "--+"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add1"
SID "83"
Ports [3, 1]
Position [160, 144, 190, 196]
ZOrder -9
Inputs "+-+"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add2"
SID "84"
Ports [3, 1]
Position [160, 259, 190, 311]
ZOrder -10
Inputs "+++"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "a"
SID "85"
Position [225, 270, 255, 300]
ZOrder -11
Gain "0.25"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "b"
SID "86"
Position [225, 155, 255, 185]
ZOrder -12
Gain "0.25"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Gain
Name "c"
SID "87"
Position [225, 80, 255, 110]
ZOrder -13
Gain "0.25"
ParamDataTypeStr "Inherit: Inherit via internal rule"
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Outport
Name "1"
SID "88"
Position [530, 78, 560, 92]
ZOrder -14
IconDisplay "Port number"
}
Block {
BlockType Outport
Name "2"
SID "89"
Position [530, 208, 560, 222]
ZOrder -15
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Outport
Name "3"
SID "90"
Position [530, 338, 560, 352]
ZOrder -16
Port "3"
IconDisplay "Port number"
}
Line {
SrcBlock "s"
SrcPort 1
Points [320, 0]
Branch {
DstBlock " "
DstPort 1
}
Branch {
Points [0, 130]
Branch {
Labels [1, 0]
DstBlock " "
DstPort 1
}
Branch {
Points [0, 130]
DstBlock " "
DstPort 1
}
}
}
Line {
SrcBlock "T1"
SrcPort 1
Points [60, 0]
Branch {
DstBlock "Add"
DstPort 1
}
Branch {
Points [0, 75]
Branch {
DstBlock "Add1"
DstPort 1
}
Branch {
Points [0, 115]
DstBlock "Add2"
DstPort 1
}
}
}
Line {
SrcBlock "T2"
SrcPort 1
Points [15, 0]
Branch {
Points [0, -75]
DstBlock "Add"
DstPort 2
}
Branch {
DstBlock "Add1"
DstPort 2
}
Branch {
Points [0, 115]
DstBlock "Add2"
DstPort 2
}
}
Line {
SrcBlock "T3"
SrcPort 1
Points [40, 0]
Branch {
Points [0, -115]
Branch {
Points [0, -75]
DstBlock "Add"
DstPort 3
}
Branch {
DstBlock "Add1"
DstPort 3
}
}
Branch {
DstBlock "Add2"
DstPort 3
}
}
Line {
SrcBlock "Add"
SrcPort 1
DstBlock "c"
DstPort 1
}
Line {
SrcBlock "Add1"
SrcPort 1
DstBlock "b"
DstPort 1
}
Line {
SrcBlock "Add2"
SrcPort 1
DstBlock "a"
DstPort 1
}
Line {
SrcBlock " "
SrcPort 1
DstBlock "1"
DstPort 1
}
Line {
SrcBlock " "
SrcPort 1
DstBlock "2"
DstPort 1
}
Line {
SrcBlock " "
SrcPort 1
DstBlock "3"
DstPort 1
}
Line {
SrcBlock "b"
SrcPort 1
Points [70, 0]
Branch {
Points [0, -40]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 7
}
Branch {
Labels [-1, 1]
Points [0, -75]
DstBlock " "
DstPort 2
}
}
Branch {
Points [0, 45]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 4
}
Branch {
Points [0, 15]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 5
}
Branch {
Points [0, 100]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 3
}
Branch {
Labels [-1, 1]
Points [0, 45]
DstBlock " "
DstPort 6
}
}
}
}
}
Line {
SrcBlock "c"
SrcPort 1
Points [45, 0]
Branch {
Points [0, 90]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 2
}
Branch {
Points [0, 60]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 6
}
Branch {
Points [0, 115]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 5
}
Branch {
Labels [-1, 1]
Points [0, 30]
DstBlock " "
DstPort 7
}
}
}
}
Branch {
Points [0, -10]
Branch {
DstBlock " "
DstPort 4
}
Branch {
Labels [-1, 1; -1, 0]
Points [0, -15]
DstBlock " "
DstPort 3
}
}
}
Line {
SrcBlock "a"
SrcPort 1
Points [100, 0]
Branch {
Points [0, -25]
Branch {
Points [0, -60]
Branch {
Points [0, -85]
Branch {
Labels [-1, 1; -1, 0]
Points [0, -15]
DstBlock " "
DstPort 5
}
Branch {
DstBlock " "
DstPort 6
}
}
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 3
}
}
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 7
}
}
Branch {
Points [0, 30]
Branch {
Labels [-1, 1]
DstBlock " "
DstPort 2
}
Branch {
Labels [-1, 1]
Points [0, 30]
DstBlock " "
DstPort 4
}
}
}
}
}
Block {
BlockType SubSystem
Name "Subsystem4"
SID "91"
Ports [3, 1]
Position [650, 264, 705, 366]
ZOrder -9
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "Subsystem4"
Location [162, 116, 1010, 646]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "A"
SID "92"
Position [35, 98, 65, 112]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "B"
SID "93"
Position [35, 178, 65, 192]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "C"
SID "94"
Position [35, 258, 65, 272]
ZOrder -3
Port "3"
IconDisplay "Port number"
}
Block {
BlockType Logic
Name " "
SID "95"
Ports [1, 1]
Position [440, 124, 470, 156]
ZOrder -4
List {
ListType RTWdata
TLCFile "extended_custcode"
Location "Subsystem Initialize Function"
Middle " setCanModuleFloatingPointCallback \n(&GlobalModuleCAN_A, CAN_IRQ_ERROR, ca"
"n_irq_CAN_A_CAN_IRQ_ERROR );\n"
Bottom "enableCanModuleCallback(&GlobalModuleCAN_A, CAN_IRQ_ERROR );\n"
Unique "Multiple"
Key ""
}
Operator "NOT"
AllPortsSameDT off
OutDataTypeStr "boolean"
Port {
PortNumber 1
Name "PWM2"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType DataTypeConversion
Name " "
SID "96"
Position [500, 123, 575, 157]
ZOrder -5
OutDataTypeStr "double"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Logic
Name " "
SID "97"
Ports [1, 1]
Position [445, 204, 475, 236]
ZOrder -6
List {
ListType RTWdata
TLCFile "extended_custcode"
Location "Subsystem Initialize Function"
Middle " setCanModuleFloatingPointCallback \n(&GlobalModuleCAN_A, CAN_IRQ_ERROR, ca"
"n_irq_CAN_A_CAN_IRQ_ERROR );\n"
Bottom "enableCanModuleCallback(&GlobalModuleCAN_A, CAN_IRQ_ERROR );\n"
Unique "Multiple"
Key ""
}
Operator "NOT"
AllPortsSameDT off
OutDataTypeStr "boolean"
Port {
PortNumber 1
Name "PWM4"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType DataTypeConversion
Name " "
SID "98"
Position [505, 203, 580, 237]
ZOrder -7
OutDataTypeStr "double"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Logic
Name " "
SID "99"
Ports [1, 1]
Position [455, 284, 485, 316]
ZOrder -8
List {
ListType RTWdata
TLCFile "extended_custcode"
Location "Subsystem Initialize Function"
Middle " setCanModuleFloatingPointCallback \n(&GlobalModuleCAN_A, CAN_IRQ_ERROR, ca"
"n_irq_CAN_A_CAN_IRQ_ERROR );\n"
Bottom "enableCanModuleCallback(&GlobalModuleCAN_A, CAN_IRQ_ERROR );\n"
Unique "Multiple"
Key ""
}
Operator "NOT"
AllPortsSameDT off
OutDataTypeStr "boolean"
Port {
PortNumber 1
Name "PWM6"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType DataTypeConversion
Name " "
SID "100"
Position [515, 283, 590, 317]
ZOrder -9
OutDataTypeStr "double"
RndMeth "Floor"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add"
SID "101"
Ports [2, 1]
Position [170, 82, 200, 113]
ZOrder -10
Inputs "+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
Port {
PortNumber 1
Name "PWM1"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Sum
Name "Add1"
SID "102"
Ports [2, 1]
Position [170, 162, 200, 193]
ZOrder -11
Inputs "+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
Port {
PortNumber 1
Name "PWM3"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Sum
Name "Add2"
SID "103"
Ports [2, 1]
Position [170, 242, 200, 273]
ZOrder -12
Inputs "+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
Port {
PortNumber 1
Name "PWM5"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType BusCreator
Name "Bus\nCreator"
SID "104"
Ports [6, 1]
Position [645, 81, 650, 319]
ZOrder -13
ShowName off
Inputs "6"
DisplayOption "bar"
}
Block {
BlockType Reference
Name "R"
SID "105"
Ports [0, 1]
Position [25, 25, 65, 55]
ZOrder -14
LibraryVersion "1.274"
SourceBlock "simulink/Sources/Repeating\nSequence"
SourceType "Repeating table"
rep_seq_t "[0 0.0001 0.0002]"
rep_seq_y "[0 0.0001 0]"
}
Block {
BlockType Relay
Name "R1"
SID "106"
Position [250, 85, 280, 115]
ZOrder -15
}
Block {
BlockType Relay
Name "R2"
SID "107"
Position [250, 165, 280, 195]
ZOrder -16
}
Block {
BlockType Relay
Name "R3"
SID "108"
Position [250, 245, 280, 275]
ZOrder -17
}
Block {
BlockType Outport
Name "S"
SID "109"
Position [675, 193, 705, 207]
ZOrder -18
IconDisplay "Port number"
}
Line {
SrcBlock "A"
SrcPort 1
DstBlock "Add"
DstPort 2
}
Line {
SrcBlock "B"
SrcPort 1
DstBlock "Add1"
DstPort 2
}
Line {
SrcBlock "C"
SrcPort 1
DstBlock "Add2"
DstPort 2
}
Line {
SrcBlock "R"
SrcPort 1
Points [40, 0; 0, 50]
Branch {
DstBlock "Add"
DstPort 1
}
Branch {
Points [0, 80]
Branch {
DstBlock "Add1"
DstPort 1
}
Branch {
Points [0, 80]
DstBlock "Add2"
DstPort 1
}
}
}
Line {
Name "PWM1"
SrcBlock "Add"
SrcPort 1
DstBlock "R1"
DstPort 1
}
Line {
Name "PWM3"
SrcBlock "Add1"
SrcPort 1
DstBlock "R2"
DstPort 1
}
Line {
Name "PWM5"
SrcBlock "Add2"
SrcPort 1
DstBlock "R3"
DstPort 1
}
Line {
Name "PWM2"
SrcBlock " "
SrcPort 1
DstBlock " "
DstPort 1
}
Line {
Name "PWM4"
SrcBlock " "
SrcPort 1
DstBlock " "
DstPort 1
}
Line {
Name "PWM6"
SrcBlock " "
SrcPort 1
DstBlock " "
DstPort 1
}
Line {
SrcBlock "Bus\nCreator"
SrcPort 1
DstBlock "S"
DstPort 1
}
Line {
SrcBlock "R1"
SrcPort 1
Points [35, 0]
Branch {
Labels [1, 1]
DstBlock "Bus\nCreator"
DstPort 1
}
Branch {
Points [0, 40]
DstBlock " "
DstPort 1
}
}
Line {
SrcBlock "R3"
SrcPort 1
Points [35, 0]
Branch {
Labels [1, 1]
DstBlock "Bus\nCreator"
DstPort 5
}
Branch {
Points [0, 40]
DstBlock " "
DstPort 1
}
}
Line {
SrcBlock "R2"
SrcPort 1
Points [35, 0]
Branch {
Labels [1, 1]
DstBlock "Bus\nCreator"
DstPort 3
}
Branch {
Points [0, 40]
DstBlock " "
DstPort 1
}
}
Line {
SrcBlock " "
SrcPort 1
DstBlock "Bus\nCreator"
DstPort 6
}
Line {
SrcBlock " "
SrcPort 1
DstBlock "Bus\nCreator"
DstPort 4
}
Line {
SrcBlock " "
SrcPort 1
DstBlock "Bus\nCreator"
DstPort 2
}
}
}
Block {
BlockType Outport
Name "S"
SID "110"
Position [745, 308, 775, 322]
ZOrder -10
IconDisplay "Port number"
}
Line {
SrcBlock "1"
SrcPort 1
Points [75, 0]
Branch {
DstBlock "Subsystem"
DstPort 1
}
Branch {
Points [0, 110]
DstBlock "Subsystem1"
DstPort 1
}
}
Line {
SrcBlock "Subsystem1"
SrcPort 1
DstBlock "Subsystem2"
DstPort 1
}
Line {
SrcBlock "Subsystem1"
SrcPort 2
DstBlock "Subsystem2"
DstPort 2
}
Line {
SrcBlock "Subsystem1"
SrcPort 3
DstBlock "Subsystem2"
DstPort 3
}
Line {
SrcBlock "Subsystem"
SrcPort 1
Points [85, 0]
Branch {
Points [0, 180]
DstBlock "Subsystem2"
DstPort 4
}
Branch {
Points [95, 0; 0, 90]
DstBlock "Subsystem3"
DstPort 1
}
}
Line {
SrcBlock "Subsystem2"
SrcPort 1
DstBlock "Subsystem3"
DstPort 2
}
Line {
SrcBlock "Subsystem2"
SrcPort 2
Points [5, 0; 0, -45]
DstBlock "Subsystem3"
DstPort 3
}
Line {
SrcBlock "Subsystem3"
SrcPort 1
DstBlock "Subsystem4"
DstPort 1
}
Line {
SrcBlock "Subsystem3"
SrcPort 2
DstBlock "Subsystem4"
DstPort 2
}
Line {
SrcBlock "Subsystem3"
SrcPort 3
DstBlock "Subsystem4"
DstPort 3
}
Line {
SrcBlock "Constant"
SrcPort 1
DstBlock "Subsystem1"
DstPort 4
}
Line {
SrcBlock "Constant1"
SrcPort 1
Points [30, 0]
Branch {
DstBlock "Subsystem2"
DstPort 5
}
Branch {
Points [0, -80]
DstBlock "Subsystem1"
DstPort 3
}
Branch {
Points [0, 40; 305, 0; 0, -85]
DstBlock "Subsystem3"
DstPort 4
}
}
Line {
Labels [1, 0]
SrcBlock "Subsystem4"
SrcPort 1
DstBlock "S"
DstPort 1
}
Line {
SrcBlock "2"
SrcPort 1
Points [50, 0]
Branch {
Points [0, 90]
DstBlock "Subsystem1"
DstPort 2
}
Branch {
DstBlock "Subsystem"
DstPort 2
}
}
}
}
Block {
BlockType Scope
Name "Scope"
SID "111"
Ports [6]
Position [1210, 267, 1265, 428]
ZOrder -18
ShowName off
Floating off
Location [5, 50, 1371, 727]
Open off
NumInputPorts "6"
ZoomMode "xonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
axes2 "%<SignalLabel>"
axes3 "%<SignalLabel>"
axes4 "%<SignalLabel>"
axes5 "%<SignalLabel>"
axes6 "%<SignalLabel>"
}
List {
ListType ScopeGraphics
FigureColor "[0.5 0.5 0.5]"
AxesColor "[0 0 0]"
AxesTickColor "[1 1 1]"
LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
LineStyles "-|-|-|-|-|-"
LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]"
MarkerStyles "none|none|none|none|none|none"
}
ShowLegends off
TimeRange "0.15"
YMin "44~-0.04~6.21~3.5~3.475~-350"
YMax "45.75~0.04~6.23~3.7~3.675~50"
DataFormat "StructureWithTime"
LimitDataPoints off
SampleTime "0"
}
Block {
BlockType Scope
Name "Scope1"
SID "112"
Ports [5]
Position [720, 39, 775, 141]
ZOrder -19
Floating off
Location [5, 49, 1371, 731]
Open off
NumInputPorts "5"
ZoomMode "yonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
axes2 "%<SignalLabel>"
axes3 "%<SignalLabel>"
axes4 "%<SignalLabel>"
axes5 "%<SignalLabel>"
}
List {
ListType ScopeGraphics
FigureColor "[0.5 0.5 0.5]"
AxesColor "[0 0 0]"
AxesTickColor "[1 1 1]"
LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
LineStyles "-|-|-|-|-|-"
LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]"
MarkerStyles "none|none|none|none|none|none"
}
ShowLegends off
YMin "0~0.6~1.2~1.889~-0.1"
YMax "1~2~2.1~1.8935~0.1"
SaveName "ScopeData1"
DataFormat "StructureWithTime"
LimitDataPoints off
MaxDataPoints "50000"
SampleTime "0"
}
Block {
BlockType Scope
Name "Scope2"
SID "113"
Ports [1]
Position [1150, 111, 1190, 159]
ZOrder -20
Floating off
Location [5, 249, 1371, 487]
Open off
NumInputPorts "1"
ZoomMode "xonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
}
ShowLegends off
YMin "-7.5"
YMax "7.5"
SaveName "ScopeData2"
DataFormat "StructureWithTime"
LimitDataPoints off
MaxDataPoints "50000"
SampleTime "0"
}
Block {
BlockType Scope
Name "Scope3"
SID "114"
Ports [2]
Position [140, 119, 195, 221]
ZOrder -21
Floating off
Location [-107, 103, 1259, 780]
Open off
NumInputPorts "2"
ZoomMode "xonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
axes2 "%<SignalLabel>"
}
ShowLegends off
YMin "0.575~117.5"
YMax "0.925~162.5"
SaveName "ScopeData3"
DataFormat "StructureWithTime"
LimitDataPoints off
MaxDataPoints "50000"
SampleTime "0"
}
Block {
BlockType Scope
Name "Scope4"
SID "136"
Ports [1]
Position [1195, 526, 1235, 574]
ZOrder 2
Floating off
Location [5, 249, 1371, 487]
Open off
NumInputPorts "1"
ZoomMode "xonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
}
List {
ListType ScopeGraphics
FigureColor "[0.5 0.5 0.5]"
AxesColor "[0 0 0]"
AxesTickColor "[1 1 1]"
LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
LineStyles "-|-|-|-|-|-"
LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]"
MarkerStyles "none|none|none|none|none|none"
}
ShowLegends off
YMin "-7.5"
YMax "7.5"
SaveName "ScopeData4"
DataFormat "StructureWithTime"
LimitDataPoints off
MaxDataPoints "50000"
SampleTime "0"
}
Block {
BlockType Scope
Name "Scope5"
SID "137"
Ports [1]
Position [1195, 456, 1235, 504]
ZOrder 3
Floating off
Location [5, 249, 1371, 487]
Open off
NumInputPorts "1"
ZoomMode "xonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
}
ShowLegends off
YMin "-7.5"
YMax "7.5"
SaveName "ScopeData5"
DataFormat "StructureWithTime"
LimitDataPoints off
MaxDataPoints "50000"
SampleTime "0"
}
Block {
BlockType Scope
Name "Scope6"
SID "138"
Ports [1]
Position [250, 461, 290, 509]
ZOrder 4
Floating off
Location [-299, 357, 1067, 595]
Open off
NumInputPorts "1"
ZoomMode "xonly"
List {
ListType AxesTitles
axes1 "%<SignalLabel>"
}
List {
ListType ScopeGraphics
FigureColor "[0.5 0.5 0.5]"
AxesColor "[0 0 0]"
AxesTickColor "[1 1 1]"
LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
LineStyles "-|-|-|-|-|-"
LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]"
MarkerStyles "none|none|none|none|none|none"
}
ShowLegends off
YMin "-7.5"
YMax "7.5"
SaveName "ScopeData6"
DataFormat "StructureWithTime"
LimitDataPoints off
MaxDataPoints "50000"
SampleTime "0"
}
Block {
BlockType SubSystem
Name "Subsystem3"
SID "115"
Ports [3, 2]
Position [505, 305, 545, 365]
ZOrder -22
ShowName off
MinAlgLoopOccurrences off
PropExecContextOutsideSubsystem off
RTWSystemCode "Auto"
FunctionWithSeparateData off
Opaque off
RequestExecContextInheritance off
MaskHideContents off
System {
Name "Subsystem3"
Location [586, 211, 1087, 658]
Open off
ModelBrowserVisibility off
ModelBrowserWidth 200
ScreenColor "white"
PaperOrientation "landscape"
PaperPositionMode "auto"
PaperType "A4"
PaperUnits "centimeters"
TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000]
TiledPageScale 1
ShowPageBoundaries off
ZoomFactor "100"
Block {
BlockType Inport
Name "D"
SID "116"
Position [25, 23, 55, 37]
ZOrder -1
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "Q"
SID "117"
Position [25, 68, 55, 82]
ZOrder -2
Port "2"
IconDisplay "Port number"
}
Block {
BlockType Inport
Name "W"
SID "118"
Position [25, 158, 55, 172]
ZOrder -3
Port "3"
IconDisplay "Port number"
}
Block {
BlockType Sum
Name "Add"
SID "119"
Ports [2, 1]
Position [320, 57, 350, 88]
ZOrder -4
Inputs "-+"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Add1"
SID "120"
Ports [2, 1]
Position [320, 167, 350, 198]
ZOrder -5
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Product"
SID "121"
Ports [2, 1]
Position [240, 22, 270, 53]
ZOrder -6
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Product1"
SID "122"
Ports [2, 1]
Position [240, 77, 270, 108]
ZOrder -7
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Product2"
SID "123"
Ports [2, 1]
Position [240, 137, 270, 168]
ZOrder -8
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Product
Name "Product3"
SID "124"
Ports [2, 1]
Position [240, 202, 270, 233]
ZOrder -9
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Trigonometry
Name "Trigonometric\nFunction"
SID "125"
Ports [1, 1]
Position [115, 135, 145, 165]
ZOrder -10
}
Block {
BlockType Trigonometry
Name "Trigonometric\nFunction1"
SID "126"
Ports [1, 1]
Position [115, 200, 145, 230]
ZOrder -11
Operator "cos"
}
Block {
BlockType Outport
Name "a"
SID "127"
Position [390, 68, 420, 82]
ZOrder -12
IconDisplay "Port number"
}
Block {
BlockType Outport
Name "b"
SID "128"
Position [390, 178, 420, 192]
ZOrder -13
Port "2"
IconDisplay "Port number"
}
Line {
SrcBlock "W"
SrcPort 1
Points [20, 0]
Branch {
Points [0, -15]
DstBlock "Trigonometric\nFunction"
DstPort 1
}
Branch {
Points [0, 50]
DstBlock "Trigonometric\nFunction1"
DstPort 1
}
}
Line {
SrcBlock "Trigonometric\nFunction"
SrcPort 1
Points [40, 0; 0, -50]
Branch {
Points [0, -55]
DstBlock "Product"
DstPort 2
}
Branch {
DstBlock "Product1"
DstPort 2
}
}
Line {
SrcBlock "Trigonometric\nFunction1"
SrcPort 1
Points [35, 0]
Branch {
Points [0, -55]
DstBlock "Product2"
DstPort 2
}
Branch {
Points [0, 10]
DstBlock "Product3"
DstPort 2
}
}
Line {
SrcBlock "D"
SrcPort 1
Points [115, 0]
Branch {
DstBlock "Product"
DstPort 1
}
Branch {
Points [0, 115]
DstBlock "Product2"
DstPort 1
}
}
Line {
SrcBlock "Q"
SrcPort 1
Points [145, 0]
Branch {
Points [20, 0]
DstBlock "Product1"
DstPort 1
}
Branch {
Points [0, 135]
DstBlock "Product3"
DstPort 1
}
}
Line {
SrcBlock "Add"
SrcPort 1
DstBlock "a"
DstPort 1
}
Line {
SrcBlock "Add1"
SrcPort 1
DstBlock "b"
DstPort 1
}
Line {
SrcBlock "Product2"
SrcPort 1
Points [30, 0]
DstBlock "Add"
DstPort 2
}
Line {
SrcBlock "Product1"
SrcPort 1
Points [5, 0; 0, -30]
DstBlock "Add"
DstPort 1
}
Line {
SrcBlock "Product"
SrcPort 1
Points [25, 0; 0, 135]
DstBlock "Add1"
DstPort 1
}
Line {
SrcBlock "Product3"
SrcPort 1
Points [30, 0]
DstBlock "Add1"
DstPort 2
}
}
}
Block {
BlockType Sum
Name "Sum"
SID "129"
Ports [2, 1]
Position [185, 325, 205, 345]
ZOrder -23
ShowName off
IconShape "round"
Inputs "|+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
Port {
PortNumber 1
Name "EW"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Sum
Name "Sum1"
SID "130"
Ports [2, 1]
Position [320, 325, 340, 345]
ZOrder -24
ShowName off
IconShape "round"
Inputs "|+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
Port {
PortNumber 1
Name "EI"
RTWStorageClass "Auto"
DataLoggingNameMode "SignalName"
}
}
Block {
BlockType Sum
Name "Sum2"
SID "131"
Ports [2, 1]
Position [880, 460, 900, 515]
ZOrder -25
BlockMirror on
NamePlacement "alternate"
ShowName off
Inputs "+-"
}
Block {
BlockType Sum
Name "Sum3"
SID "132"
Ports [2, 1]
Position [385, 205, 405, 225]
ZOrder -26
BlockRotation 270
BlockMirror on
NamePlacement "alternate"
ShowName off
IconShape "round"
Inputs "|+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Sum
Name "Sum4"
SID "133"
Ports [2, 1]
Position [65, 325, 85, 345]
ZOrder -27
ShowName off
IconShape "round"
Inputs "|+-"
InputSameDT off
OutDataTypeStr "Inherit: Inherit via internal rule"
SaturateOnIntegerOverflow off
}
Block {
BlockType Reference
Name "Voltage Measurement"
SID "134"
Ports [0, 1, 0, 0, 0, 2]
Position [895, 393, 920, 417]
ZOrder -28
ShowName off
LibraryVersion "1.2191"
FontName "Verdana"
FontSize 11
SourceBlock "powerlib/Measurements/Voltage Measurement"
SourceType "Voltage Measurement"
PhasorSimulation off
OutputType "Complex"
PSBequivalent "0"
}
Block {
BlockType Reference
Name "powergui"
SID "135"
Ports []
Position [85, 25, 205, 79]
ZOrder -29
LibraryVersion "*1.2191"
UserDataPersistent on
UserData "DataTag0"
FontName "Verdana"
FontSize 11
SourceBlock "powerlib/powergui"
SourceType "PSB option menu block"
SimulationMode "Discrete"
SolverType "Tustin"
SampleTime "1e-6"
frequency "60"
SPID off
DisableSnubberDevices off
DisableRonSwitches off
DisableVfSwitches off
SwTol "0"
Interpol off
DisplayEquations off
frequencyindice "0"
Pbase "100e6"
ErrMax "1e-4"
Iterations "50"
UnitsV "kV"
UnitsW "MW"
FunctionMessages off
echomessages off
HookPort off
EnableUseOfTLC off
x0status "blocks"
RestoreLinks "warning"
ResistiveCurrentMeasurement off
Frange "[0:2:500]"
Ylog off
Xlog on
ShowGrid off
save off
variable "ZData"
ZoomFFT on
StartTime "0.0"
cycles "1"
DisplayStyle "1"
fundamental "60"
FreqAxis off
MaxFrequency "1000"
frequencyindicesteady "1"
RmsSteady "1"
display off
Ts "0"
methode off
}
Line {
LineType "Connection"
SrcBlock "300V"
SrcPort RConn1
Points [0, -10; 55, 0]
DstBlock "3-Phase\nInverter"
DstPort RConn1
}
Line {
LineType "Connection"
SrcBlock "300V"
SrcPort LConn1
Points [0, 5; 55, 0]
DstBlock "3-Phase\nInverter"
DstPort RConn2
}
Line {
SrcBlock "SVPWM"
SrcPort 1
Points [10, 0; 0, -55]
Branch {
Labels [1, 0]
Points [180, 0; 0, 35]
DstBlock "3-Phase\nInverter"
DstPort 1
}
Branch {
Points [0, -230]
DstBlock "Scope1"
DstPort 1
}
}
Line {
LineType "Connection"
SrcBlock "3-Phase\nInverter"
SrcPort LConn3
Points [35, 0]
Branch {
ConnectType "DEST_SRC"
DstBlock "Permanent Magnet\nSynchronous Machine1"
DstPort LConn3
}
Branch {
ConnectType "DEST_SRC"
Points [0, 35]
DstBlock "Voltage Measurement"
DstPort LConn2
}
}
Line {
LineType "Connection"
SrcBlock "3-Phase\nInverter"
SrcPort LConn2
Points [55, 0]
Branch {
ConnectType "DEST_SRC"
DstBlock "Permanent Magnet\nSynchronous Machine1"
DstPort LConn2
}
Branch {
ConnectType "DEST_SRC"
Points [0, 45]
DstBlock "Voltage Measurement"
DstPort LConn1
}
}
Line {
SrcBlock "Constant"
SrcPort 1
DstBlock "Permanent Magnet\nSynchronous Machine1"
DstPort 1
}
Line {
SrcBlock "Permanent Magnet\nSynchronous Machine1"
SrcPort 1
Points [20, 0]
Branch {
DstBlock "Bus\nSelector"
DstPort 1
}
Branch {
Points [0, -210]
DstBlock "Bus\nSelector1"
DstPort 1
}
}
Line {
Name "<Rotor angle thetam (rad)>"
Labels [0, 0]
SrcBlock "Bus\nSelector"
SrcPort 3
Points [95, 0]
Branch {
Points [0, -10]
DstBlock "Scope"
DstPort 3
}
Branch {
Points [0, 130]
Branch {
DstBlock "Gain"
DstPort 1
}
Branch {
Points [0, 75]
Branch {
DstBlock "Scope4"
DstPort 1
}
Branch {
Points [0, 20; -1070, 0]
DstBlock "Sum4"
DstPort 2
}
}
}
}
Line {
Name "<Stator current is_q (A)>"
Labels [0, 0]
SrcBlock "Bus\nSelector"
SrcPort 4
Points [55, 0]
Branch {
Points [90, 0]
DstBlock "Scope"
DstPort 4
}
Branch {
Points [0, 55; -775, 0]
DstBlock "Sum1"
DstPort 2
}
}
Line {
Name "<Stator current is_d (A)>"
Labels [0, 0]
SrcBlock "Bus\nSelector"
SrcPort 2
Points [125, 0]
Branch {
Points [20, 0]
DstBlock "Scope"
DstPort 2
}
Branch {
Points [0, -100]
DstBlock "Sum3"
DstPort 2
}
}
Line {
Name "<Rotor speed wm (rad/s)>"
Labels [0, 0]
SrcBlock "Bus\nSelector"
SrcPort 1
Points [115, 0; 0, 170]
Branch {
Points [0, 25]
DstBlock "Scope5"
DstPort 1
}
Branch {
DstBlock "Gain1"
DstPort 1
}
}
Line {
Labels [0, 0]
SrcBlock "Subsystem3"
SrcPort 1
DstBlock "SVPWM"
DstPort 1
}
Line {
SrcBlock "Subsystem3"
SrcPort 2
DstBlock "SVPWM"
DstPort 2
}
Line {
SrcBlock "Discrete\nPI Controller3"
SrcPort 1
Points [15, 0]
Branch {
DstBlock "Sum"
DstPort 1
}
Branch {
Points [0, -40; -45, 0]
DstBlock "Scope3"
DstPort 2
}
}
Line {
Name "EW"
Labels [0, 0]
SrcBlock "Sum"
SrcPort 1
Points [5, 0]
Branch {
DstBlock "Discrete\nPI Controller"
DstPort 1
}
Branch {
Points [0, -265]
DstBlock "Scope1"
DstPort 2
}
}
Line {
Name "CW"
Labels [0, 0]
SrcBlock "Discrete\nPI Controller"
SrcPort 1
Points [10, 0]
Branch {
DstBlock "Sum1"
DstPort 1
}
Branch {
Points [0, -245]
DstBlock "Scope1"
DstPort 3
}
}
Line {
SrcBlock "Sum2"
SrcPort 1
Points [-385, 0]
DstBlock "Subsystem3"
DstPort 3
}
Line {
Name "<Stator current is_a (A)>"
Labels [0, 0]
SrcBlock "Bus\nSelector"
SrcPort 5
Points [145, 0]
DstBlock "Scope"
DstPort 5
}
Line {
Name "CI"
Labels [0, 0]
SrcBlock "Discrete\nPI Controller1"
SrcPort 1
Points [55, 0]
Branch {
DstBlock "Subsystem3"
DstPort 2
}
Branch {
Points [0, -205]
DstBlock "Scope1"
DstPort 5
}
}
Line {
LineType "Connection"
SrcBlock "Permanent Magnet\nSynchronous Machine1"
SrcPort LConn1
DstBlock "3-Phase\nInverter"
DstPort LConn1
}
Line {
Name "EI"
Labels [0, 0]
SrcBlock "Sum1"
SrcPort 1
Points [5, 0]
Branch {
DstBlock "Discrete\nPI Controller1"
DstPort 1
}
Branch {
Points [0, -225]
DstBlock "Scope1"
DstPort 4
}
}
Line {
SrcBlock "Constant1"
SrcPort 1
DstBlock "Sum2"
DstPort 2
}
Line {
SrcBlock "Discrete\nPI Controller2"
SrcPort 1
Points [30, 0]
DstBlock "Subsystem3"
DstPort 1
}
Line {
SrcBlock "Gain"
SrcPort 1
DstBlock "Sum2"
DstPort 1
}
Line {
SrcBlock "Gain1"
SrcPort 1
Points [-80, 0]
Branch {
DstBlock "Sum"
DstPort 2
}
Branch {
Points [0, 30]
Branch {
DstBlock "Scope6"
DstPort 1
}
Branch {
Points [0, 45; 1090, 0; 0, -275; -90, 0]
DstBlock "Scope"
DstPort 1
}
}
}
Line {
Name "<Stator current is_a (A)>"
Labels [0, 0]
SrcBlock "Bus\nSelector1"
SrcPort 1
DstBlock "Bus\nCreator"
DstPort 1
}
Line {
Name "<Stator current is_b (A)>"
Labels [0, 0]
SrcBlock "Bus\nSelector1"
SrcPort 2
DstBlock "Bus\nCreator"
DstPort 2
}
Line {
Name "<Stator current is_c (A)>"
Labels [0, 0]
SrcBlock "Bus\nSelector1"
SrcPort 3
DstBlock "Bus\nCreator"
DstPort 3
}
Line {
SrcBlock "Bus\nCreator"
SrcPort 1
DstBlock "Scope2"
DstPort 1
}
Line {
SrcBlock "Constant2"
SrcPort 1
DstBlock "Sum3"
DstPort 1
}
Line {
SrcBlock "Sum3"
SrcPort 1
DstBlock "Discrete\nPI Controller2"
DstPort 1
}
Line {
SrcBlock "Voltage Measurement"
SrcPort 1
Points [80, 0; 0, 15; 190, 0]
DstBlock "Scope"
DstPort 6
}
Line {
SrcBlock "Constant3"
SrcPort 1
DstBlock "Sum4"
DstPort 1
}
Line {
SrcBlock "Sum4"
SrcPort 1
Points [0, 0]
Branch {
DstBlock "Discrete\nPI Controller3"
DstPort 1
}
Branch {
Points [0, -190]
DstBlock "Scope3"
DstPort 1
}
}
}
}
MatData {
NumRecords 1
DataRecord {
Tag DataTag0
Data " %)30 . * , 8 ( @ % \" $ ! 0 % 0 # $ \"$ <&]W9"
"7)G=6D <W1E861Y<W1A=&4 :6YI='-T871E<P ;&]A9&9L;W< ;'1I=FEE=P >FUE=&5R 9F9T=&]O; <F5P;W)"
"T :'ES=&5R97-I<P ;&EN97!A<F%M ;&]A9&9L;W=N97< X P !@ @ & 4 ( "
" ! D #@ # & \" 8 !0 @ $ \"0 . , 8 "
" ( !@ % \" 0 ) X P !@ @ & 4 ( "
" ! D #@ # & \" 8 !0 @ $ \"0 . , 8 "
" ( !@ % \" 0 ) X P !@ @ & 4 ( "
"! D #@ # & \" 8 !0 @ $ \"0 . , 8 "
"( !@ % \" 0 ) X P !@ @ & 4 ( !"
" D #@ # & \" 8 !0 @ $ \"0 "
}
}