From 8dfa166454a00a0b376072984062c8c35aa4f301 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Tue, 3 Mar 2020 20:23:09 -0800 Subject: [PATCH 01/11] Move ipynb content over to parse.py, Update gitignore --- .gitignore | 3 ++ parse.py | 111 +++++++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 114 insertions(+) create mode 100644 parse.py diff --git a/.gitignore b/.gitignore index cd2946a..389ff30 100644 --- a/.gitignore +++ b/.gitignore @@ -45,3 +45,6 @@ $RECYCLE.BIN/ Network Trash Folder Temporary Items .apdisk +/venv/ +/.ipynb_checkpoints/ +/.idea/ diff --git a/parse.py b/parse.py new file mode 100644 index 0000000..2231bf6 --- /dev/null +++ b/parse.py @@ -0,0 +1,111 @@ +import argparse +import olefile +import os + + + +# class ParametricFile: +# records = [] +# #public String signature +# +# def getJSON(self): +# return JSONObject(records.get(0)); +# +# def load(self, inputStream): +# records = [] +# while (inputStream.available() >= 4): +# record = self.readRecord(inputStream) +# if (record != b''): +# records.append(record) +# +# signature = records.pop(0)["HEADER"] +# self.buildObjectHierarchy() +# return len(records) > 0 +# +# def buildObjectHierarchy(self): +# for i, current in enumerate(self.records): +# s = current["OWNERINDEX"] +# if (s == None | | len(s) == 0): +# s = "0" +# ownerIndex = int(s) +# +# self.records.remove(i) +# +# Map < String, Object > owner = records.get(ownerIndex); +# List < Object > children = (List < Object >) +# owner.get("children"); +# if (children == null): +# children = new +# ArrayList < Object > (); +# owner.put("children", children); +# +# children.add(current); +# +# def readRecord(self, DocumentInputStream inputStream): +# line = readLine(inputStream) +# +# if (line == null): return null +# +# result = [] +# +# pairs = line.split("\\|") +# for (String pair: pairs) { +# if (pair.trim().isEmpty()) +# continue; +# +# data = pair.split("=") +# if (data.length == 2) { +# result.put(data[0], data[1]); +# +# +# return result +# +# +# def readLine(inputStream): +# int +# length = inputStream.readInt() +# if (length == -1): +# return null +# +# byte[] +# buffer = new +# byte[length] +# inputStream.read(buffer, 0, length) +# if (buffer[0] == 0): +# return null +# +# return new +# String(buffer).split("\u0000")[0] +# BLOCKSIZE = 4096 +# result = [] +# current = '' +# for block in iter(lambda: fp.read(BLOCKSIZE), ''): +# current += block +# while 1: +# markerpos = current.find(marker) +# if markerpos == -1: +# break +# result.append(current[:markerpos]) +# current = current[markerpos + len(marker):] +# result.append(current) +# return result + + +def parse(input, output, **kwargs): + fullPath = input + print(fullPath) + + blah = olefile.OleFileIO(fullPath) + stream = blah.openstream('FileHeader') + print(stream.read()) + + +if __name__ == "__main__": + parser = argparse.ArgumentParser(description='Converts Altium .SchDoc files into json.') + parser.add_argument('--input', '-i', dest='input', + help='schdoc file to parse') + parser.add_argument('--output', '-o', dest='output', + help='file to output json to, otherwise prints to terminal') + + args = parser.parse_args() + parse(**vars(args)) \ No newline at end of file From 6d0886ef1b1bfde31051c45c73345c57dbbda16b Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Tue, 3 Mar 2020 20:25:40 -0800 Subject: [PATCH 02/11] Add install and usage placeholders to README.md --- README.md | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/README.md b/README.md index fc9446d..8f2c215 100644 --- a/README.md +++ b/README.md @@ -1,2 +1,7 @@ # Altium-Schematic-Parser Converts Altium .SchDoc files into json + +## Install + +## Usage + python parse.py -i "path/to/altiumschematic.schdoc" -o "path/to/jsonfile.json" \ No newline at end of file From 60d1ff4b441a35b44dfde472fa62dee68875d98b Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Tue, 3 Mar 2020 20:29:09 -0800 Subject: [PATCH 03/11] Removing ipynb history files --- .../Altium Schematic Parser-checkpoint.ipynb | 37829 ---------------- 1 file changed, 37829 deletions(-) delete mode 100644 .ipynb_checkpoints/Altium Schematic Parser-checkpoint.ipynb diff --git a/.ipynb_checkpoints/Altium Schematic Parser-checkpoint.ipynb b/.ipynb_checkpoints/Altium Schematic Parser-checkpoint.ipynb deleted file mode 100644 index 723139c..0000000 --- a/.ipynb_checkpoints/Altium Schematic Parser-checkpoint.ipynb +++ /dev/null @@ -1,37829 +0,0 @@ -{ - "cells": [ - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "import json\n", - "\n", - "class ParametricFile:\n", - " records = []\n", - " public String signature;\n", - " \n", - " def getJSON():\n", - " return new JSONObject(records.get(0));\n", - " \n", - " \n", - " def load(inputStream):\n", - " records = []\n", - " while (inputStream.available() >= 4) {\n", - " record = readRecord(inputStream);\n", - " if (record != b''):\n", - " records.append(record)\n", - " \n", - " signature = records.pop(0)[\"HEADER\"]\n", - " buildObjectHierarchy()\n", - " return len(records) > 0\n", - "\n", - " def buildObjectHierarchy():\n", - " for i, current in enumerate(records):\n", - " s = current[\"OWNERINDEX\"]\n", - " if (s == null || len(s) == 0):\n", - " s = \"0\"\n", - " ownerIndex = int(s);\n", - "\n", - " records.remove(i);\n", - "\n", - " Map owner = records.get(ownerIndex);\n", - " List children = (List) owner.get(\"children\");\n", - " if (children == null):\n", - " children = new ArrayList();\n", - " owner.put(\"children\", children);\n", - "\n", - " children.add(current);\n", - "\n", - " def readRecord(DocumentInputStream inputStream):\n", - " line = readLine(inputStream);\n", - "\n", - " if (line == null) return null;\n", - "\n", - " result = []\n", - "\n", - " String pairs[] = line.split(\"\\\\|\");\n", - " for (String pair : pairs) {\n", - " if (pair.trim().isEmpty()) continue;\n", - " \n", - " String[] data = pair.split(\"=\");\n", - " if (data.length == 2) {\n", - " result.put(data[0], data[1]);\n", - " \n", - " return result\n", - "\n", - " def readLine(inputStream):\n", - " int length = inputStream.readInt()\n", - " if (length == -1):\n", - " return null\n", - "\n", - " byte[] buffer = new byte[length]\n", - " inputStream.read(buffer, 0, length)\n", - " if (buffer[0] == 0):\n", - " return null\n", - "\n", - " return new String(buffer).split(\"\\u0000\")[0]\n", - " BLOCKSIZE = 4096\n", - " result = []\n", - " current = ''\n", - " for block in iter(lambda: fp.read(BLOCKSIZE), ''):\n", - " current += block\n", - " while 1:\n", - " markerpos = current.find(marker)\n", - " if markerpos == -1:\n", - " break\n", - " result.append(current[:markerpos])\n", - " current = current[markerpos + len(marker):]\n", - " result.append(current)\n", - " return result\n", - "\n", - " " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "import olefile\n", - "\n", - "def ParseToJSON(schematicPath):\n", - " if (args.length==0 || args.length>2) {\n", - " System.out.println(\"Please specify valid file\");\n", - " return;\n", - " }\n", - "\n", - " List argsList = Arrays.asList(args);\n", - " if (!argsList.contains(\"-c\")) {\n", - " unpackFile(new File(args[0]));\n", - " } else {\n", - " ParametricFile parametricFile = new ParametricFile();\n", - " POIFSFileSystem fs = new POIFSFileSystem(new FileInputStream(new File(args[args.length - 1])));\n", - " if (parametricFile.load(new DocumentInputStream((DocumentEntry) fs.getRoot().getEntry(\"FileHeader\")))) {\n", - " System.out.println(\"Successful!\");\n", - " System.out.println(parametricFile.getJSON().toString(2));\n", - " }\n", - " }\n", - " }\n", - " \n", - " private static void unpackFile(File file) throws IOException {\n", - " POIFSFileSystem fs = new POIFSFileSystem(new FileInputStream(file));\n", - " exportDirEntry(fs.getRoot(), new File(file.getParentFile(), file.getName() + \".export\"));\n", - " }\n", - "\n", - " private static void exportDirEntry(DirectoryEntry dirEntry, File dir) throws IOException {\n", - " if (!dir.mkdirs()) throw new IOException(\"Can't create dir\");\n", - "\n", - " for (Entry entry : dirEntry) {\n", - " if (entry.isDirectoryEntry()) {\n", - " exportDirEntry((DirectoryEntry) entry, new File(dir, entry.getName()));\n", - " } else if (entry.isDocumentEntry()) {\n", - " exportFileEntry(entry, dir);\n", - " }\n", - " }\n", - " }\n", - "\n", - " private static void exportFileEntry(Entry entry, File dir) throws IOException {\n", - " OutputStream output = new FileOutputStream(new File(dir, entry.getName()));\n", - " InputStream input = new DocumentInputStream((DocumentEntry) entry);\n", - "\n", - " byte[] buffer = new byte[4096]; // Adjust if you want\n", - " int bytesRead;\n", - " while ((bytesRead = input.read(buffer)) != -1)\n", - " output.write(buffer, 0, bytesRead);\n", - " }" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false - }, - "outputs": [], - "source": [ - "a = [(\"blah\", 22), (\"sdlkfj\", 25)]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[('blah', 22), ('sdlkfj', 25)]\n" - ] - } - ], - "source": [ - "print(a)" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "blah = []" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "blah.append(\"flfjkgd\")" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['flfjkgd']\n" - ] - } - ], - "source": [ - "print(blah)" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "blah.count" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "data": { - "text/plain": [ - "1" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "len(blah)" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "blah = [\"dfldkf\"]" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "ename": "AttributeError", - "evalue": "'list' object has no attribute 'isEmpty'", - "output_type": "error", - "traceback": [ - "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", - "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mblah\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0misEmpty\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", - "\u001b[1;31mAttributeError\u001b[0m: 'list' object has no attribute 'isEmpty'" - ] - } - ], - "source": [ - "blah.isEmpty()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "import zipfile,os.path\n", - "def unzip(source_filename, dest_dir):\n", - " print(source_filename)\n", - " with zipfile.ZipFile(source_filename, \"r\") as zf:\n", - " for member in zf.infolist():\n", - " # Path traversal defense copied from\n", - " # http://hg.python.org/cpython/file/tip/Lib/http/server.py#l789\n", - " words = member.filename.split('/')\n", - " path = dest_dir\n", - " for word in words[:-1]:\n", - " while True:\n", - " drive, word = os.path.splitdrive(word)\n", - " head, word = os.path.split(word)\n", - " if not drive:\n", - " break\n", - " if word in (os.curdir, os.pardir, ''):\n", - " continue\n", - " path = os.path.join(path, word)\n", - " zf.extract(member, path)" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "C:\\Users\\Meuep\\Documents\\GitHub\\Altium Schematic Parser\\altium_crap\\Snippets\\Schematic\\Simple Regulator Circuit.SchDoc\n", - "b'\\xcf'\n", - "b'\\x11'\n", - "b'\\xe0'\n", - "b'\\xa1'\n", - "b'\\xb1'\n", - "b'\\x1a'\n", - "b'\\xe1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'>'\n", - "b'\\x00'\n", - "b'\\x03'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\t'\n", - "b'\\x00'\n", - "b'\\x06'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x10'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x02'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfd'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x04'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x05'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x06'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x08'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x14'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0c'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\r'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x10'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x11'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x12'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x13'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x03'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x15'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x16'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x17'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x18'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1c'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b' '\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'!'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\"'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'#'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'$'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'%'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'&'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b\"'\"\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'('\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b')'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'*'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'+'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b','\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'-'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'.'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'/'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b':'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b';'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'<'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'='\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'>'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'?'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'@'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'A'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'B'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'D'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'F'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'R'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b't'\n", - "b'\\x00'\n", - "b' '\n", - "b'\\x00'\n", - "b'E'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b't'\n", - "b'\\x00'\n", - "b'r'\n", - "b'\\x00'\n", - "b'y'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x16'\n", - "b'\\x00'\n", - "b'\\x05'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b' '\n", - "b'\\xdb'\n", - "b'\\x90'\n", - "b'\\x9a'\n", - "b'\\xe1'\n", - "b'e'\n", - "b'\\xc7'\n", - "b'\\x01'\n", - "b'G'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'@'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'F'\n", - "b'\\x00'\n", - "b'i'\n", - "b'\\x00'\n", - "b'l'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'H'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'a'\n", - "b'\\x00'\n", - "b'd'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'r'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x16'\n", - "b'\\x00'\n", - "b'\\x02'\n", - "b'\\x01'\n", - "b'\\x02'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'S'\n", - "b'\\x00'\n", - "b't'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'r'\n", - "b'\\x00'\n", - "b'a'\n", - "b'\\x00'\n", - "b'g'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x10'\n", - "b'\\x00'\n", - "b'\\x02'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'\\x00'\n", - "b'I'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'F'\n", - "b'O'\n", - "b'A'\n", - "b'Y'\n", - "b'J'\n", - "b'R'\n", - "b'S'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'J'\n", - "b'E'\n", - "b'V'\n", - "b'L'\n", - "b'A'\n", - "b'D'\n", - "b'K'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'L'\n", - "b'Y'\n", - "b'C'\n", - "b'M'\n", - "b'U'\n", - "b'W'\n", - "b'U'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xf1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'4'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'4'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'4'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'5'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'5'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'9'\n", - "b'|'\n", - "b'X'\n", - "b'6'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'6'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'7'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'7'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'8'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'8'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'9'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'9'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'6'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9c'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'Q'\n", - "b'N'\n", - "b'C'\n", - "b'H'\n", - "b'M'\n", - "b'M'\n", - "b'S'\n", - "b'B'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'R'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x95'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'R'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'K'\n", - "b'H'\n", - "b'B'\n", - "b'P'\n", - "b'W'\n", - "b'R'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'H'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'E'\n", - "b'R'\n", - "b'='\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b't'\n", - "b'e'\n", - "b'l'\n", - "b' '\n", - "b'f'\n", - "b'o'\n", - "b'r'\n", - "b' '\n", - "b'W'\n", - "b'i'\n", - "b'n'\n", - "b'd'\n", - "b'o'\n", - "b'w'\n", - "b's'\n", - "b' '\n", - "b'-'\n", - "b' '\n", - "b'S'\n", - "b'c'\n", - "b'h'\n", - "b'e'\n", - "b'm'\n", - "b'a'\n", - "b't'\n", - "b'i'\n", - "b'c'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b't'\n", - "b'u'\n", - "b'r'\n", - "b'e'\n", - "b' '\n", - "b'B'\n", - "b'i'\n", - "b'n'\n", - "b'a'\n", - "b'r'\n", - "b'y'\n", - "b' '\n", - "b'F'\n", - "b'i'\n", - "b'l'\n", - "b'e'\n", - "b' '\n", - "b'V'\n", - "b'e'\n", - "b'r'\n", - "b's'\n", - "b'i'\n", - "b'o'\n", - "b'n'\n", - "b' '\n", - "b'5'\n", - "b'.'\n", - "b'0'\n", - "b'|'\n", - "b'W'\n", - "b'E'\n", - "b'I'\n", - "b'G'\n", - "b'H'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x9c'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'1'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b's'\n", - "b' '\n", - "b'N'\n", - "b'e'\n", - "b'w'\n", - "b' '\n", - "b'R'\n", - "b'o'\n", - "b'm'\n", - "b'a'\n", - "b'n'\n", - "b'|'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'R'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'2'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b's'\n", - "b' '\n", - "b'N'\n", - "b'e'\n", - "b'w'\n", - "b' '\n", - "b'R'\n", - "b'o'\n", - "b'm'\n", - "b'a'\n", - "b'n'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'B'\n", - "b'C'\n", - "b'S'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'B'\n", - "b'O'\n", - "b'C'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'H'\n", - "b'O'\n", - "b'T'\n", - "b'S'\n", - "b'P'\n", - "b'O'\n", - "b'T'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'H'\n", - "b'O'\n", - "b'T'\n", - "b'S'\n", - "b'P'\n", - "b'O'\n", - "b'T'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'H'\n", - "b'O'\n", - "b'T'\n", - "b'S'\n", - "b'P'\n", - "b'O'\n", - "b'T'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'B'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'E'\n", - "b'R'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'L'\n", - "b'E'\n", - "b'B'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'U'\n", - "b'M'\n", - "b'B'\n", - "b'E'\n", - "b'R'\n", - "b'S'\n", - "b'P'\n", - "b'A'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'3'\n", - "b'1'\n", - "b'7'\n", - "b'6'\n", - "b'9'\n", - "b'5'\n", - "b'|'\n", - "b'S'\n", - "b'N'\n", - "b'A'\n", - "b'P'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'S'\n", - "b'N'\n", - "b'A'\n", - "b'P'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'V'\n", - "b'I'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'V'\n", - "b'I'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'Y'\n", - "b'='\n", - "b'9'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'X'\n", - "b'Z'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'S'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'Y'\n", - "b'Z'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'S'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'M'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'I'\n", - "b'N'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'_'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'='\n", - "b'4'\n", - "b'\\x00'\n", - "b'I'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'V'\n", - "b'K'\n", - "b'Y'\n", - "b'V'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x91'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'E'\n", - "b'K'\n", - "b'P'\n", - "b'B'\n", - "b'C'\n", - "b'Y'\n", - "b'B'\n", - "b'O'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x91'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'G'\n", - "b'L'\n", - "b'Y'\n", - "b'O'\n", - "b'S'\n", - "b'X'\n", - "b'B'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xf0'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'4'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'4'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'5'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'5'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'9'\n", - "b'|'\n", - "b'X'\n", - "b'6'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'6'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'7'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'7'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'8'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'8'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'9'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'9'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'T'\n", - "b'D'\n", - "b'G'\n", - "b'V'\n", - "b'U'\n", - "b'X'\n", - "b'U'\n", - "b'\\x00'\n", - "b'\\x84'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'R'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x94'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'R'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'K'\n", - "b'H'\n", - "b'B'\n", - "b'P'\n", - "b'W'\n", - "b'R'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'\\x18'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'W'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'Y'\n", - "b'B'\n", - "b'I'\n", - "b'L'\n", - "b'L'\n", - "b'S'\n", - "b'P'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'A'\n", - "b'P'\n", - "b'B'\n", - "b'N'\n", - "b'H'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'P'\n", - "b'V'\n", - "b'Y'\n", - "b'U'\n", - "b'I'\n", - "b'C'\n", - "b'H'\n", - "b'Y'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xf1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'6'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'4'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'4'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'5'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'5'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'6'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'6'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'9'\n", - "b'|'\n", - "b'X'\n", - "b'7'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'7'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'8'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'8'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'9'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'9'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'4'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'Y'\n", - "b'V'\n", - "b'I'\n", - "b'R'\n", - "b'E'\n", - "b'B'\n", - "b'R'\n", - "b'V'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'R'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x95'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'R'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'K'\n", - "b'H'\n", - "b'B'\n", - "b'P'\n", - "b'W'\n", - "b'R'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'8'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'`'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'V'\n", - "b'C'\n", - "b'C'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'['\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'X'\n", - "b'N'\n", - "b'O'\n", - "b'H'\n", - "b'Y'\n", - "b'V'\n", - "b'R'\n", - "b'F'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'D'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'Q'\n", - "b'O'\n", - "b'X'\n", - "b'\\x00'\n", - "b'\\x97'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'o'\n", - "b'd'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'O'\n", - "b'J'\n", - "b'X'\n", - "b'T'\n", - "b'V'\n", - "b'E'\n", - "b'C'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'K'\n", - "b'V'\n", - "b'S'\n", - "b'X'\n", - "b'I'\n", - "b'E'\n", - "b'U'\n", - "b'Q'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'B'\n", - "b'F'\n", - "b'H'\n", - "b'G'\n", - "b'C'\n", - "b'U'\n", - "b'G'\n", - "b'W'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'6'\n", - "b'1'\n", - "b'.'\n", - "b'9'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'9'\n", - "b'.'\n", - "b'7'\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'8'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'8'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'9'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'8'\n", - "b'4'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'8'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'a'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b' '\n", - "b'T'\n", - "b'y'\n", - "b'p'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'C'\n", - "b'F'\n", - "b'N'\n", - "b'Q'\n", - "b'M'\n", - "b'I'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'J'\n", - "b'C'\n", - "b'N'\n", - "b'M'\n", - "b'W'\n", - "b'H'\n", - "b'E'\n", - "b'M'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'u'\n", - "b'F'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'A'\n", - "b'V'\n", - "b'O'\n", - "b'U'\n", - "b'M'\n", - "b'C'\n", - "b'U'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\xdf'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'2'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'7'\n", - "b'3'\n", - "b'4'\n", - "b'3'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\\\'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'L'\n", - "b'D'\n", - "b'U'\n", - "b'G'\n", - "b'F'\n", - "b'S'\n", - "b'A'\n", - "b'K'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'D'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'Q'\n", - "b'O'\n", - "b'X'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'o'\n", - "b'd'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'O'\n", - "b'J'\n", - "b'X'\n", - "b'T'\n", - "b'V'\n", - "b'E'\n", - "b'C'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'F'\n", - "b'K'\n", - "b'Y'\n", - "b'K'\n", - "b'U'\n", - "b'X'\n", - "b'G'\n", - "b'Q'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'D'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'H'\n", - "b'M'\n", - "b'N'\n", - "b'D'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'6'\n", - "b'1'\n", - "b'.'\n", - "b'9'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'9'\n", - "b'.'\n", - "b'7'\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'4'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'a'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b' '\n", - "b'T'\n", - "b'y'\n", - "b'p'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'E'\n", - "b'M'\n", - "b'H'\n", - "b'A'\n", - "b'Q'\n", - "b'P'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'L'\n", - "b'N'\n", - "b'H'\n", - "b'N'\n", - "b'V'\n", - "b'M'\n", - "b'A'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'u'\n", - "b'F'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'A'\n", - "b'V'\n", - "b'O'\n", - "b'U'\n", - "b'M'\n", - "b'C'\n", - "b'U'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xdf'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'2'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'7'\n", - "b'3'\n", - "b'4'\n", - "b'3'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'4'\n", - "b'\\x00'\n", - "b'l'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'`'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'v'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'L'\n", - "b'M'\n", - "b'1'\n", - "b'0'\n", - "b'8'\n", - "b'4'\n", - "b'I'\n", - "b'S'\n", - "b'-'\n", - "b'A'\n", - "b'D'\n", - "b'J'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'5'\n", - "b'A'\n", - "b' '\n", - "b'L'\n", - "b'o'\n", - "b'w'\n", - "b' '\n", - "b'D'\n", - "b'r'\n", - "b'o'\n", - "b'p'\n", - "b'o'\n", - "b'u'\n", - "b't'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b's'\n", - "b's'\n", - "b'i'\n", - "b't'\n", - "b'i'\n", - "b'v'\n", - "b'e'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b'g'\n", - "b'u'\n", - "b'l'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b's'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'U'\n", - "b'T'\n", - "b'K'\n", - "b'I'\n", - "b'L'\n", - "b'U'\n", - "b'X'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'L'\n", - "b'M'\n", - "b'1'\n", - "b'0'\n", - "b'8'\n", - "b'4'\n", - "b'I'\n", - "b'S'\n", - "b'-'\n", - "b'A'\n", - "b'D'\n", - "b'J'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'S'\n", - "b'O'\n", - "b'L'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\xb2'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'I'\n", - "b'n'\n", - "b'p'\n", - "b'u'\n", - "b't'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'7'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'I'\n", - "b'N'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'3'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'U'\n", - "b'W'\n", - "b'J'\n", - "b'A'\n", - "b'V'\n", - "b'V'\n", - "b'N'\n", - "b'W'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xa8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'O'\n", - "b'u'\n", - "b't'\n", - "b'p'\n", - "b'u'\n", - "b't'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'7'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'O'\n", - "b'U'\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'Y'\n", - "b'Y'\n", - "b'H'\n", - "b'Y'\n", - "b'R'\n", - "b'N'\n", - "b'M'\n", - "b'P'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xb2'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'O'\n", - "b'u'\n", - "b't'\n", - "b'p'\n", - "b'u'\n", - "b't'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'5'\n", - "b'9'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'I'\n", - "b'M'\n", - "b'B'\n", - "b'B'\n", - "b'O'\n", - "b'X'\n", - "b'S'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'8'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'_'\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'V'\n", - "b'F'\n", - "b'K'\n", - "b'S'\n", - "b'U'\n", - "b'T'\n", - "b'L'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'8'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'_'\n", - "b'2'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'H'\n", - "b'D'\n", - "b'G'\n", - "b'B'\n", - "b'C'\n", - "b'P'\n", - "b'C'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'B'\n", - "b'G'\n", - "b'M'\n", - "b'A'\n", - "b'S'\n", - "b'H'\n", - "b'N'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'U'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'L'\n", - "b'M'\n", - "b'1'\n", - "b'0'\n", - "b'8'\n", - "b'4'\n", - "b'I'\n", - "b'S'\n", - "b'-'\n", - "b'A'\n", - "b'D'\n", - "b'J'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'A'\n", - "b'A'\n", - "b'J'\n", - "b'G'\n", - "b'Y'\n", - "b'E'\n", - "b'I'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\xdf'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'O'\n", - "b'-'\n", - "b'2'\n", - "b'6'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'T'\n", - "b'O'\n", - "b'-'\n", - "b'2'\n", - "b'6'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'5'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'W'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'V'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\\\'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'O'\n", - "b'I'\n", - "b'W'\n", - "b'I'\n", - "b'E'\n", - "b'F'\n", - "b'G'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'D'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'Q'\n", - "b'O'\n", - "b'X'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'o'\n", - "b'd'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'O'\n", - "b'J'\n", - "b'X'\n", - "b'T'\n", - "b'V'\n", - "b'E'\n", - "b'C'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'L'\n", - "b'X'\n", - "b'C'\n", - "b'R'\n", - "b'H'\n", - "b'M'\n", - "b'Q'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'H'\n", - "b'V'\n", - "b'N'\n", - "b'G'\n", - "b'Y'\n", - "b'F'\n", - "b'O'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'6'\n", - "b'1'\n", - "b'.'\n", - "b'9'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'9'\n", - "b'.'\n", - "b'7'\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'Q'\n", - "b'D'\n", - "b'V'\n", - "b'W'\n", - "b'M'\n", - "b'S'\n", - "b'G'\n", - "b'D'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'4'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'4'\n", - "b'7'\n", - "b'0'\n", - "b'u'\n", - "b'F'\n", - "b' '\n", - "b'1'\n", - "b'6'\n", - "b'V'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'A'\n", - "b'V'\n", - "b'O'\n", - "b'U'\n", - "b'M'\n", - "b'C'\n", - "b'U'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'2'\n", - "b'8'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'2'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'7'\n", - "b'3'\n", - "b'4'\n", - "b'3'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'B'\n", - "b'8'\n", - "b'/'\n", - "b'3'\n", - "b'.'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'R'\n", - "b'B'\n", - "b'8'\n", - "b'/'\n", - "b'3'\n", - "b'.'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'0'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'^'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'\\x00'\n", - "b'U'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'D'\n", - "b'C'\n", - "b'_'\n", - "b'I'\n", - "b'N'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'u'\n", - "b'r'\n", - "b'r'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'J'\n", - "b'G'\n", - "b'I'\n", - "b'A'\n", - "b'U'\n", - "b'Y'\n", - "b'W'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'u'\n", - "b'r'\n", - "b'r'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'D'\n", - "b'a'\n", - "b't'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'V'\n", - "b'O'\n", - "b'O'\n", - "b'C'\n", - "b'Y'\n", - "b'G'\n", - "b'R'\n", - "b'H'\n", - "b'\\x00'\n", - "b'z'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'J'\n", - "b'J'\n", - "b'T'\n", - "b'H'\n", - "b'U'\n", - "b'B'\n", - "b'P'\n", - "b'N'\n", - "b'\\x00'\n", - "b'z'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'a'\n", - "b't'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'G'\n", - "b'T'\n", - "b'N'\n", - "b'Y'\n", - "b'K'\n", - "b'K'\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x8d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'o'\n", - "b'c'\n", - "b'u'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'F'\n", - "b'u'\n", - "b'l'\n", - "b'l'\n", - "b'P'\n", - "b'a'\n", - "b't'\n", - "b'h'\n", - "b'A'\n", - "b'n'\n", - "b'd'\n", - "b'N'\n", - "b'a'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'X'\n", - "b'C'\n", - "b'G'\n", - "b'W'\n", - "b'C'\n", - "b'E'\n", - "b'D'\n", - "b'Y'\n", - "b'\\x00'\n", - "b'\\x82'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'o'\n", - "b'c'\n", - "b'u'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'N'\n", - "b'a'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'P'\n", - "b'N'\n", - "b'B'\n", - "b'Y'\n", - "b'I'\n", - "b'X'\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x82'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'o'\n", - "b'd'\n", - "b'i'\n", - "b'f'\n", - "b'i'\n", - "b'e'\n", - "b'd'\n", - "b'D'\n", - "b'a'\n", - "b't'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'L'\n", - "b'B'\n", - "b'M'\n", - "b'K'\n", - "b'N'\n", - "b'I'\n", - "b'M'\n", - "b'\\x00'\n", - "b'\\x80'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'p'\n", - "b'p'\n", - "b'r'\n", - "b'o'\n", - "b'v'\n", - "b'e'\n", - "b'd'\n", - "b'B'\n", - "b'y'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'C'\n", - "b'R'\n", - "b'W'\n", - "b'J'\n", - "b'X'\n", - "b'B'\n", - "b'K'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'h'\n", - "b'e'\n", - "b'c'\n", - "b'k'\n", - "b'e'\n", - "b'd'\n", - "b'B'\n", - "b'y'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'P'\n", - "b'H'\n", - "b'P'\n", - "b'D'\n", - "b'L'\n", - "b'T'\n", - "b'I'\n", - "b'\\x00'\n", - "b'|'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'u'\n", - "b't'\n", - "b'h'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'N'\n", - "b'Y'\n", - "b'A'\n", - "b'L'\n", - "b'H'\n", - "b'T'\n", - "b'L'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'p'\n", - "b'a'\n", - "b'n'\n", - "b'y'\n", - "b'N'\n", - "b'a'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'X'\n", - "b'B'\n", - "b'K'\n", - "b'U'\n", - "b'R'\n", - "b'X'\n", - "b'P'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'r'\n", - "b'a'\n", - "b'w'\n", - "b'n'\n", - "b'B'\n", - "b'y'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'T'\n", - "b'C'\n", - "b'Y'\n", - "b'S'\n", - "b'C'\n", - "b'L'\n", - "b'V'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'E'\n", - "b'n'\n", - "b'g'\n", - "b'i'\n", - "b'n'\n", - "b'e'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'V'\n", - "b'A'\n", - "b'H'\n", - "b'J'\n", - "b'I'\n", - "b'N'\n", - "b'Y'\n", - "b'D'\n", - "b'\\x00'\n", - "b'\\x82'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'O'\n", - "b'r'\n", - "b'g'\n", - "b'a'\n", - "b'n'\n", - "b'i'\n", - "b'z'\n", - "b'a'\n", - "b't'\n", - "b'i'\n", - "b'o'\n", - "b'n'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'K'\n", - "b'M'\n", - "b'I'\n", - "b'N'\n", - "b'N'\n", - "b'N'\n", - "b'N'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'1'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'N'\n", - "b'D'\n", - "b'S'\n", - "b'W'\n", - "b'R'\n", - "b'Y'\n", - "b'Q'\n", - "b'U'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'2'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'H'\n", - "b'K'\n", - "b'O'\n", - "b'F'\n", - "b'Q'\n", - "b'P'\n", - "b'B'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'3'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'H'\n", - "b'G'\n", - "b'V'\n", - "b'E'\n", - "b'F'\n", - "b'W'\n", - "b'L'\n", - "b'T'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'4'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'M'\n", - "b'Q'\n", - "b'T'\n", - "b'Q'\n", - "b'X'\n", - "b'O'\n", - "b'K'\n", - "b'H'\n", - "b'\\x00'\n", - "b'{'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b't'\n", - "b'l'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'I'\n", - "b'V'\n", - "b'Q'\n", - "b'Y'\n", - "b'J'\n", - "b'F'\n", - "b'O'\n", - "b'\\x00'\n", - "b'\\x84'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'o'\n", - "b'c'\n", - "b'u'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'N'\n", - "b'u'\n", - "b'm'\n", - "b'b'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'D'\n", - "b'D'\n", - "b'F'\n", - "b'T'\n", - "b'Q'\n", - "b'N'\n", - "b'O'\n", - "b'C'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b'v'\n", - "b'i'\n", - "b's'\n", - "b'i'\n", - "b'o'\n", - "b'n'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'J'\n", - "b'K'\n", - "b'W'\n", - "b'J'\n", - "b'R'\n", - "b'K'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'h'\n", - "b'e'\n", - "b'e'\n", - "b't'\n", - "b'N'\n", - "b'u'\n", - "b'm'\n", - "b'b'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'M'\n", - "b'F'\n", - "b'W'\n", - "b'Y'\n", - "b'A'\n", - "b'G'\n", - "b'S'\n", - "b'B'\n", - "b'\\x00'\n", - "b'\\x80'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'h'\n", - "b'e'\n", - "b'e'\n", - "b't'\n", - "b'T'\n", - "b'o'\n", - "b't'\n", - "b'a'\n", - "b'l'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'T'\n", - "b'X'\n", - "b'E'\n", - "b'N'\n", - "b'R'\n", - "b'V'\n", - "b'W'\n", - "b'\\x00'\n", - "b'z'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'u'\n", - "b'l'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'F'\n", - "b'M'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'J'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'I'\n", - "b'm'\n", - "b'a'\n", - "b'g'\n", - "b'e'\n", - "b'P'\n", - "b'a'\n", - "b't'\n", - "b'h'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'G'\n", - "b'J'\n", - "b'E'\n", - "b'E'\n", - "b'Q'\n", - "b'U'\n", - "b'Q'\n", - "b'G'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x15'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'H'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'E'\n", - "b'R'\n", - "b'='\n", - "b'I'\n", - "b'c'\n", - "b'o'\n", - "b'n'\n", - "b' '\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'a'\n", - "b'g'\n", - "b'e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b''\n" - ] - } - ], - "source": [ - "import tempfile\n", - "import shutil\n", - "import olefile\n", - "\n", - "dirpath = tempfile.mkdtemp()\n", - "dir = r\"C:\\Users\\Meuep\\Documents\\GitHub\\Altium Schematic Parser\"\n", - "schematic = r\"altium_crap\\Snippets\\Schematic\\Simple Regulator Circuit.SchDoc\"\n", - "fullPath = os.path.join(dir, schematic)\n", - "print(fullPath)\n", - "\n", - "\n", - "blah = open(fullPath, \"rb\")\n", - "byte = blah.read(1)\n", - "while byte != b'':\n", - " byte = blah.read(1)\n", - " print(byte)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "True\n" - ] - } - ], - "source": [ - "import olefile\n", - "print(olefile.isOleFile(fullPath))\n", - "\n", - "blah = olefile.OleFileIO(fullPath)" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[['FileHeader'], ['Storage']]\n", - "b'R\\x00\\x00\\x00|HEADER=Protel for Windows - Schematic Capture Binary File Version 5.0|WEIGHT=271\\x00\\x9c\\x01\\x00\\x00|RECORD=31|FONTIDCOUNT=2|SIZE1=10|FONTNAME1=Times New Roman|SIZE2=10|ROTATION2=90|FONTNAME2=Times New Roman|USEMBCS=T|ISBOC=T|HOTSPOTGRIDON=T|HOTSPOTGRIDON=T|HOTSPOTGRIDSIZE=4|SYSTEMFONT=1|BORDERON=T|TITLEBLOCKON=T|SHEETNUMBERSPACESIZE=4|AREACOLOR=16317695|SNAPGRIDON=T|SNAPGRIDSIZE=10|VISIBLEGRIDON=T|VISIBLEGRIDSIZE=10|CUSTOMX=1500|CUSTOMY=950|CUSTOMXZONES=6|CUSTOMYZONES=4|CUSTOMMARGINWIDTH=20|DISPLAY_UNIT=4\\x00I\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=RES|COMPONENTDESCRIPTION=Resistor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=250|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=URTCVKYV|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=RES\\x00\\x9e\\x00\\x00\\x00|RECORD=2|OWNERINDEX=1|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=250|LOCATION.Y=400|NAME=2|DESIGNATOR=2|SWAPIDPART=0\\x00\\x91\\x00\\x00\\x00|RECORD=41|OWNERINDEX=2|OWNERPARTID=-1|LOCATION.X=250|LOCATION.Y=400|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=EKPBCYBO|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9e\\x00\\x00\\x00|RECORD=2|OWNERINDEX=1|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=250|LOCATION.Y=420|NAME=1|DESIGNATOR=1|SWAPIDPART=0\\x00\\x91\\x00\\x00\\x00|RECORD=41|OWNERINDEX=4|OWNERPARTID=-1|LOCATION.X=250|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=GGLYOSXB|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xf0\\x00\\x00\\x00|RECORD=6|OWNERINDEX=1|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=10|X1=250|Y1=400|X2=250|Y2=404|X3=248|Y3=405|X4=252|Y4=407|X5=248|Y5=409|X6=252|Y6=411|X7=248|Y7=413|X8=252|Y8=415|X9=250|Y9=416|X10=250|Y10=420\\x00\\x9b\\x00\\x00\\x00|RECORD=41|OWNERINDEX=1|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=UTDGVUXU\\x00\\x84\\x00\\x00\\x00|RECORD=34|OWNERINDEX=1|OWNERPARTID=-1|LOCATION.X=262|LOCATION.Y=410|COLOR=8388608|FONTID=1|TEXT=R?|NAME=Designator|READONLYSTATE=1\\x00\\x94\\x00\\x00\\x00|RECORD=41|OWNERINDEX=1|OWNERPARTID=1|LOCATION.X=262|LOCATION.Y=400|COLOR=8388608|FONTID=1|TEXT=120R|NAME=Comment|READONLYSTATE=1|UNIQUEID=WKHBPWRQ\\x00\\x18\\x00\\x00\\x00|RECORD=44|OWNERINDEX=1\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=0402 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1005-0402|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1005-0402|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=11\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=11\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=0504 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1310-0504|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1310-0504|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=14\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=14\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=0603 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1608-0603|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1608-0603|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=17\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=17\\x00\\xe8\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|MODELNAME=CC2012-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=CC2012-0805|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=20\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=20\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=1206 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3216-1206|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3216-1206|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=23\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=23\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=1210 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3225-1210|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-1210|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=26\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=26\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=1805 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC4513-1805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC4513-1805|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=29\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=29\\x00\\xff\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=2512 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC2512|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC2512|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=32\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=32\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|MODELNAME=CC3225-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=35\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=35\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|MODELNAME=CC1202-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1202-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=38\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=38\\x00I\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=RES|COMPONENTDESCRIPTION=Resistor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=380|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=EFOAYJRS|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=RES\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=41|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=350|NAME=2|DESIGNATOR=2|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=42|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=350|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=JEVLADKF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=41|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=370|NAME=1|DESIGNATOR=1|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=44|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=370|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=GLYCMUWU|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xf1\\x00\\x00\\x00|RECORD=6|OWNERINDEX=41|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=10|X1=170|Y1=350|X2=170|Y2=354|X3=168|Y3=355|X4=172|Y4=357|X5=168|Y5=359|X6=172|Y6=361|X7=168|Y7=363|X8=172|Y8=365|X9=170|Y9=366|X10=170|Y10=370\\x00\\x9c\\x00\\x00\\x00|RECORD=41|OWNERINDEX=41|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=382|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=QNCHMMSB\\x00\\x85\\x00\\x00\\x00|RECORD=34|OWNERINDEX=41|OWNERPARTID=-1|LOCATION.X=182|LOCATION.Y=360|COLOR=8388608|FONTID=1|TEXT=R?|NAME=Designator|READONLYSTATE=1\\x00\\x95\\x00\\x00\\x00|RECORD=41|OWNERINDEX=41|OWNERPARTID=1|LOCATION.X=182|LOCATION.Y=350|COLOR=8388608|FONTID=1|TEXT=100R|NAME=Comment|READONLYSTATE=1|UNIQUEID=WKHBPWRQ\\x00\\x19\\x00\\x00\\x00|RECORD=44|OWNERINDEX=41\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=0402 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1005-0402|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1005-0402|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=51\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=51\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=0504 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1310-0504|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1310-0504|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=54\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=54\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=0603 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1608-0603|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1608-0603|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=57\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=57\\x00\\xe8\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|MODELNAME=CC2012-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=CC2012-0805|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=60\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=60\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=1206 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3216-1206|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3216-1206|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=63\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=63\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=1210 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3225-1210|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-1210|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=66\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=66\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=1805 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC4513-1805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC4513-1805|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=69\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=69\\x00\\xff\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=2512 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC2512|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC2512|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=72\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=72\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|MODELNAME=CC3225-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=75\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=75\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|MODELNAME=CC1202-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1202-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=78\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=78\\x00W\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=RES|COMPONENTDESCRIPTION=Resistor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=300|ORIENTATION=2|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=TYBILLSP|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=RES\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=81|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=330|NAME=2|DESIGNATOR=2|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=82|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=330|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=CAAPBNHF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=81|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=310|NAME=1|DESIGNATOR=1|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=84|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=310|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=PVYUICHY|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xf1\\x00\\x00\\x00|RECORD=6|OWNERINDEX=81|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=10|X1=170|Y1=330|X2=170|Y2=326|X3=172|Y3=325|X4=168|Y4=323|X5=172|Y5=321|X6=168|Y6=319|X7=172|Y7=317|X8=168|Y8=315|X9=170|Y9=314|X10=170|Y10=310\\x00\\x9b\\x00\\x00\\x00|RECORD=41|OWNERINDEX=81|OWNERPARTID=-1|LOCATION.X=168|LOCATION.Y=342|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=YVIREBRV\\x00\\x85\\x00\\x00\\x00|RECORD=34|OWNERINDEX=81|OWNERPARTID=-1|LOCATION.X=180|LOCATION.Y=320|COLOR=8388608|FONTID=1|TEXT=R?|NAME=Designator|READONLYSTATE=1\\x00\\x95\\x00\\x00\\x00|RECORD=41|OWNERINDEX=81|OWNERPARTID=1|LOCATION.X=180|LOCATION.Y=310|COLOR=8388608|FONTID=1|TEXT=100R|NAME=Comment|READONLYSTATE=1|UNIQUEID=WKHBPWRQ\\x00\\x19\\x00\\x00\\x00|RECORD=44|OWNERINDEX=81\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=0402 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1005-0402|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1005-0402|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=91\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=91\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=0504 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1310-0504|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1310-0504|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=94\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=94\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=0603 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1608-0603|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1608-0603|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=97\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=97\\x00\\xe8\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|MODELNAME=CC2012-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=CC2012-0805|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=100\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=100\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=1206 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3216-1206|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3216-1206|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=103\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=103\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=1210 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3225-1210|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-1210|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=106\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=106\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=1805 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC4513-1805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC4513-1805|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=109\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=109\\x00\\xff\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=2512 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC2512|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC2512|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=112\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=112\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|MODELNAME=CC3225-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=115\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=115\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|MODELNAME=CC1202-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1202-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=118\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=118\\x00n\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=3|X1=220|Y1=440|X2=350|Y2=440|X3=350|Y3=430\\x00`\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=2|X1=250|Y1=440|X2=250|Y2=430\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=2|SHOWNETNAME=T|LOCATION.X=350|LOCATION.Y=440|ORIENTATION=1|COLOR=128|TEXT=VCC\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=170|LOCATION.Y=300|ORIENTATION=3|COLOR=128|TEXT=GND\\x00[\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=CAP_POLAR|COMPONENTDESCRIPTION=Polar Capacitor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=90|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=XNOHYVRF|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=CAP_POLAR\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=1|LOCATION.X=82|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier|UNIQUEID=UDYMWQOX\\x00\\x97\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=1|LOCATION.X=82|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier Code|UNIQUEID=OJXTVECH\\x00\\x92\\x00\\x00\\x00|RECORD=2|OWNERINDEX=125|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=90|LOCATION.Y=420|NAME=1|DESIGNATOR=1\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=128|OWNERPARTID=-1|LOCATION.X=90|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=KVSXIEUQ|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x92\\x00\\x00\\x00|RECORD=2|OWNERINDEX=125|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=90|LOCATION.Y=410|NAME=2|DESIGNATOR=2\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=130|OWNERPARTID=-1|LOCATION.X=90|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=BFHGCUGW|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9d\\x00\\x00\\x00|RECORD=12|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=90|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=61.928|ENDANGLE=90.000|COLOR=16711680\\x00\\x9e\\x00\\x00\\x00|RECORD=12|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=90|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=90.000|ENDANGLE=119.745|COLOR=16711680\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=82|Y1=417|X2=98|Y2=417\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=90|Y1=420|X2=90|Y2=417\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=90|Y1=413|X2=90|Y2=410\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=86|Y1=423|X2=86|Y2=419\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=84|Y1=421|X2=88|Y2=421\\x00\\x8d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=-1|LOCATION.X=98|LOCATION.Y=393|COLOR=8388608|FONTID=1|TEXT=Tant|NAME=Capacitor Type|UNIQUEID=KCFNQMIC\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=JCNMWHEM\\x00\\x85\\x00\\x00\\x00|RECORD=34|OWNERINDEX=125|OWNERPARTID=-1|LOCATION.X=98|LOCATION.Y=413|COLOR=8388608|FONTID=1|TEXT=C?|NAME=Designator|READONLYSTATE=1\\x00\\x85\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=1|LOCATION.X=98|LOCATION.Y=403|COLOR=8388608|FONTID=1|TEXT=10uF|NAME=Comment|UNIQUEID=AVOUMCUE\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=125\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=143|DESCRIPTION=3216 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-A|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-A|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=144\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=144\\x00\\xdf\\x00\\x00\\x00|RECORD=45|OWNERINDEX=143|MODELNAME=MCCT-B|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=MCCT-B|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=147\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=147\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=143|DESCRIPTION=6032 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-C|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-C|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=150\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=150\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=143|DESCRIPTION=7343 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-D|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-D|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=153\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=153\\x00\\\\\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=CAP_POLAR|COMPONENTDESCRIPTION=Polar Capacitor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=300|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=LDUGFSAK|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=CAP_POLAR\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=1|LOCATION.X=292|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier|UNIQUEID=UDYMWQOX\\x00\\x98\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=1|LOCATION.X=292|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier Code|UNIQUEID=OJXTVECH\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=156|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=300|LOCATION.Y=420|NAME=1|DESIGNATOR=1\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=159|OWNERPARTID=-1|LOCATION.X=300|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=FKYKUXGQ|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=156|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=300|LOCATION.Y=410|NAME=2|DESIGNATOR=2\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=161|OWNERPARTID=-1|LOCATION.X=300|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=DYSTHMND|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9e\\x00\\x00\\x00|RECORD=12|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=300|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=61.928|ENDANGLE=90.000|COLOR=16711680\\x00\\x9f\\x00\\x00\\x00|RECORD=12|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=300|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=90.000|ENDANGLE=119.745|COLOR=16711680\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=292|Y1=417|X2=308|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=300|Y1=420|X2=300|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=300|Y1=413|X2=300|Y2=410\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=296|Y1=423|X2=296|Y2=419\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=294|Y1=421|X2=298|Y2=421\\x00\\x8e\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=-1|LOCATION.X=308|LOCATION.Y=393|COLOR=8388608|FONTID=1|TEXT=Tant|NAME=Capacitor Type|UNIQUEID=FEMHAQPR\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=RLNHNVMA\\x00\\x86\\x00\\x00\\x00|RECORD=34|OWNERINDEX=156|OWNERPARTID=-1|LOCATION.X=308|LOCATION.Y=413|COLOR=8388608|FONTID=1|TEXT=C?|NAME=Designator|READONLYSTATE=1\\x00\\x86\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=1|LOCATION.X=308|LOCATION.Y=403|COLOR=8388608|FONTID=1|TEXT=10uF|NAME=Comment|UNIQUEID=AVOUMCUE\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=156\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=174|DESCRIPTION=3216 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-A|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-A|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=175\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=175\\x00\\xdf\\x00\\x00\\x00|RECORD=45|OWNERINDEX=174|MODELNAME=MCCT-B|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=MCCT-B|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=178\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=178\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=174|DESCRIPTION=6032 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-C|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-C|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=181\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=181\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=174|DESCRIPTION=7343 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-D|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-D|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=184\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=184\\x00l\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=3|X1=120|Y1=440|X2=90|Y2=440|X3=90|Y3=430\\x00n\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=90|LOCATION.Y=400|ORIENTATION=3|COLOR=128|TEXT=GND\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=300|LOCATION.Y=400|ORIENTATION=3|COLOR=128|TEXT=GND\\x00`\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=2|X1=300|Y1=440|X2=300|Y2=430\\x00v\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=LM1084IS-ADJ|COMPONENTDESCRIPTION=5A Low Dropout Possitive Regulators|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=120|LOCATION.Y=440|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=KUTKILUX|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=LM1084IS-ADJ\\x00\\x98\\x00\\x00\\x00|RECORD=14|OWNERINDEX=191|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=410|CORNER.X=200|CORNER.Y=450|COLOR=128|AREACOLOR=11599871|ISSOLID=T\\x00\\xb2\\x00\\x00\\x00|RECORD=2|OWNERINDEX=191|OWNERPARTID=1|DESCRIPTION=Input|FORMALTYPE=1|ELECTRICAL=7|PINCONGLOMERATE=58|PINLENGTH=20|LOCATION.X=140|LOCATION.Y=440|NAME=IN|DESIGNATOR=3|SWAPIDPIN=1\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=193|OWNERPARTID=-1|LOCATION.X=140|LOCATION.Y=440|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=UWJAVVNW|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xa8\\x00\\x00\\x00|RECORD=2|OWNERINDEX=191|OWNERPARTID=1|DESCRIPTION=Output|FORMALTYPE=1|ELECTRICAL=7|PINCONGLOMERATE=56|PINLENGTH=20|LOCATION.X=200|LOCATION.Y=440|NAME=OUT|DESIGNATOR=2\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=195|OWNERPARTID=-1|LOCATION.X=200|LOCATION.Y=440|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=YYHYRNMP|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xb2\\x00\\x00\\x00|RECORD=2|OWNERINDEX=191|OWNERPARTID=1|DESCRIPTION=Output|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=59|PINLENGTH=20|LOCATION.X=170|LOCATION.Y=410|NAME=1|DESIGNATOR=1|SWAPIDPIN=3\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=197|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=IMBBOXSF|ISHIDDEN=T|NAME=PinUniqueId\\x00~\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=378|COLOR=8388608|FONTID=1|NAME=Comment_1|UNIQUEID=VFKSUTLQ\\x00~\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=378|COLOR=8388608|FONTID=1|NAME=Comment_2|UNIQUEID=HDGBCPCC\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=470|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=BGMASHNC\\x00\\x86\\x00\\x00\\x00|RECORD=34|OWNERINDEX=191|OWNERPARTID=-1|LOCATION.X=140|LOCATION.Y=460|COLOR=8388608|FONTID=1|TEXT=U?|NAME=Designator|READONLYSTATE=1\\x00\\x8e\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=450|COLOR=8388608|FONTID=1|TEXT=LM1084IS-ADJ|NAME=Comment|UNIQUEID=WAAJGYEI\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=191\\x00\\xdf\\x00\\x00\\x00|RECORD=45|OWNERINDEX=204|MODELNAME=TO-263|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=TO-263|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=205\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=205\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=350|LOCATION.Y=400|ORIENTATION=3|COLOR=128|TEXT=GND\\x00W\\x00\\x00\\x00|RECORD=4|OWNERPARTID=-1|LOCATION.X=220|LOCATION.Y=450|COLOR=8388608|FONTID=1|TEXT=3V3\\x00\\\\\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=CAP_POLAR|COMPONENTDESCRIPTION=Polar Capacitor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=350|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=KOIWIEFG|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=CAP_POLAR\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=1|LOCATION.X=342|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier|UNIQUEID=UDYMWQOX\\x00\\x98\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=1|LOCATION.X=342|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier Code|UNIQUEID=OJXTVECH\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=210|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=350|LOCATION.Y=420|NAME=1|DESIGNATOR=1\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=213|OWNERPARTID=-1|LOCATION.X=350|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=LXCRHMQF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=210|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=350|LOCATION.Y=410|NAME=2|DESIGNATOR=2\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=215|OWNERPARTID=-1|LOCATION.X=350|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=HVNGYFOF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9e\\x00\\x00\\x00|RECORD=12|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=350|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=61.928|ENDANGLE=90.000|COLOR=16711680\\x00\\x9f\\x00\\x00\\x00|RECORD=12|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=350|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=90.000|ENDANGLE=119.745|COLOR=16711680\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=342|Y1=417|X2=358|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=350|Y1=420|X2=350|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=350|Y1=413|X2=350|Y2=410\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=346|Y1=423|X2=346|Y2=419\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=344|Y1=421|X2=348|Y2=421\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=QDVWMSGD\\x00\\x86\\x00\\x00\\x00|RECORD=34|OWNERINDEX=210|OWNERPARTID=-1|LOCATION.X=358|LOCATION.Y=414|COLOR=8388608|FONTID=1|TEXT=C?|NAME=Designator|READONLYSTATE=1\\x00\\x8b\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=1|LOCATION.X=358|LOCATION.Y=405|COLOR=8388608|FONTID=1|TEXT=470uF 16V|NAME=Comment|UNIQUEID=AVOUMCUE\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=210\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=3216 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-A|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-A|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=228\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=228\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=3528 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-B|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-B|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=231\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=231\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=6032 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-C|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-C|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=234\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=234\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=7343 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-D|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-D|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=237\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=237\\x00\\xe1\\x00\\x00\\x00|RECORD=45|OWNERINDEX=227|MODELNAME=RB8/3.5|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=RB8/3.5|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=240\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=240\\x00n\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=3|X1=250|Y1=390|X2=170|Y2=390|X3=170|Y3=380\\x00^\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=2|X1=60|Y1=440|X2=90|Y2=440\\x00U\\x00\\x00\\x00|RECORD=25|OWNERPARTID=-1|LOCATION.X=60|LOCATION.Y=440|COLOR=128|FONTID=1|TEXT=DC_IN\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CurrentTime|READONLYSTATE=1|UNIQUEID=JGIAUYWE\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CurrentDate|READONLYSTATE=1|UNIQUEID=VOOCYGRH\\x00z\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Time|READONLYSTATE=1|UNIQUEID=JJTHUBPN\\x00z\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Date|READONLYSTATE=1|UNIQUEID=UGTNYKKT\\x00\\x8d\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DocumentFullPathAndName|READONLYSTATE=1|UNIQUEID=XCGWCEDY\\x00\\x82\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DocumentName|READONLYSTATE=1|UNIQUEID=EPNBYIXT\\x00\\x82\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=ModifiedDate|READONLYSTATE=1|UNIQUEID=TLBMKNIM\\x00\\x80\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=ApprovedBy|READONLYSTATE=1|UNIQUEID=TCRWJXBK\\x00\\x7f\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CheckedBy|READONLYSTATE=1|UNIQUEID=EPHPDLTI\\x00|\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Author|READONLYSTATE=1|UNIQUEID=UNYALHTL\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CompanyName|READONLYSTATE=1|UNIQUEID=CXBKURXP\\x00}\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DrawnBy|READONLYSTATE=1|UNIQUEID=UTCYSCLV\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Engineer|READONLYSTATE=1|UNIQUEID=VAHJINYD\\x00\\x82\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Organization|READONLYSTATE=1|UNIQUEID=KKMINNNN\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address1|READONLYSTATE=1|UNIQUEID=NDSWRYQU\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address2|READONLYSTATE=1|UNIQUEID=RHKOFQPB\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address3|READONLYSTATE=1|UNIQUEID=HGVEFWLT\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address4|READONLYSTATE=1|UNIQUEID=MQTQXOKH\\x00{\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Title|READONLYSTATE=1|UNIQUEID=WIVQYJFO\\x00\\x84\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DocumentNumber|READONLYSTATE=1|UNIQUEID=DDFTQNOC\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Revision|READONLYSTATE=1|UNIQUEID=CJKWJRKH\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=SheetNumber|READONLYSTATE=1|UNIQUEID=MFWYAGSB\\x00\\x80\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=SheetTotal|READONLYSTATE=1|UNIQUEID=ETXENRVW\\x00z\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Rule|READONLYSTATE=1|UNIQUEID=TFMYMWJC\\x00\\x7f\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=ImagePath|READONLYSTATE=1|UNIQUEID=GJEEQUQG\\x00'\n" - ] - } - ], - "source": [ - "print(blah.listdir())\n", - "stream = blah.openstream('FileHeader')\n", - "\n", - "print(stream.read())" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "schematicBinary = os.path.join(dirpath, \"FileHeader\")\n", - "\n", - "shutil.rmtree(dirpath)" - ] - } - ], - "metadata": { - "anaconda-cloud": {}, - "kernelspec": { - "display_name": "Python [Root]", - "language": "python", - "name": "Python [Root]" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.5.2" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -} From a976ddf07e3fc217a5430ec77db73354a899defc Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Tue, 3 Mar 2020 22:29:02 -0800 Subject: [PATCH 04/11] Regex split schdocs into a list of dictionaries --- parse.py | 96 +++++++++++++++++++++++++++++++++----------------------- 1 file changed, 56 insertions(+), 40 deletions(-) diff --git a/parse.py b/parse.py index 2231bf6..ff2013d 100644 --- a/parse.py +++ b/parse.py @@ -1,8 +1,7 @@ import argparse import olefile import os - - +import re # class ParametricFile: # records = [] @@ -41,54 +40,54 @@ import os # # children.add(current); # -# def readRecord(self, DocumentInputStream inputStream): -# line = readLine(inputStream) +# def readRecord(inputStream): +# line = readLine(inputStream) # -# if (line == null): return null +# if (line == null): return null # -# result = [] +# result = [] # -# pairs = line.split("\\|") -# for (String pair: pairs) { +# pairs = line.split("|") +# for (String pair: pairs) { # if (pair.trim().isEmpty()) # continue; # -# data = pair.split("=") -# if (data.length == 2) { -# result.put(data[0], data[1]); +# data = pair.split("=") +# if (data.length == 2) { +# result.put(data[0], data[1]) + # # # # return result # # -# def readLine(inputStream): -# int -# length = inputStream.readInt() -# if (length == -1): -# return null -# -# byte[] -# buffer = new -# byte[length] -# inputStream.read(buffer, 0, length) -# if (buffer[0] == 0): -# return null -# -# return new -# String(buffer).split("\u0000")[0] -# BLOCKSIZE = 4096 -# result = [] -# current = '' -# for block in iter(lambda: fp.read(BLOCKSIZE), ''): -# current += block -# while 1: -# markerpos = current.find(marker) -# if markerpos == -1: -# break -# result.append(current[:markerpos]) -# current = current[markerpos + len(marker):] -# result.append(current) -# return result + # def readLine(inputStream): + # length = inputStream.readInt() + # if (length == -1): + # return None + # + # buffer = new + # byte[length] + # inputStream.read(buffer, 0, length) + # if (buffer[0] == 0): + # return None + # + # return new + # String(buffer).split("\u0000")[0] + # + # BLOCKSIZE = 4096 + # result = [] + # current = '' + # for block in iter(lambda: fp.read(BLOCKSIZE), ''): + # current += block + # while 1: + # markerpos = current.find(marker) + # if markerpos == -1: + # break + # result.append(current[:markerpos]) + # current = current[markerpos + len(marker):] + # result.append(current) + # return result def parse(input, output, **kwargs): @@ -97,7 +96,24 @@ def parse(input, output, **kwargs): blah = olefile.OleFileIO(fullPath) stream = blah.openstream('FileHeader') - print(stream.read()) + + pattern = re.compile(b'.{3}\x00\x00\|') + lines = pattern.split(stream.read()[5:-1]) + + records = [] + for line in lines: + record = {} + pairs = line.split(b"|") + + for pair in pairs: + data = pair.split(b"=") + + if len(data) == 2: + record[data[0].decode()] = data[1].decode() + + records.append(record) + + print(records) if __name__ == "__main__": From 7c8e1dfa682d9884cf029b04f03ef51b5f6c3150 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 00:31:46 -0800 Subject: [PATCH 05/11] json output is in hierarchy, add python packaging stuff --- README.md | 8 ++- parse.py | 154 ++++++++++++++++------------------------------- requirements.txt | 1 + setup.py | 16 +++++ 4 files changed, 75 insertions(+), 104 deletions(-) create mode 100644 requirements.txt create mode 100644 setup.py diff --git a/README.md b/README.md index 8f2c215..7a210c2 100644 --- a/README.md +++ b/README.md @@ -1,7 +1,11 @@ # Altium-Schematic-Parser Converts Altium .SchDoc files into json - +## Prerequisites +* python 3 +* olefile ## Install - + git clone git@github.com:a3ng7n/Altium-Schematic-Parser.git + cd Altium-Schematic-Parser/ + pip install -r requirements.txt ## Usage python parse.py -i "path/to/altiumschematic.schdoc" -o "path/to/jsonfile.json" \ No newline at end of file diff --git a/parse.py b/parse.py index ff2013d..6829046 100644 --- a/parse.py +++ b/parse.py @@ -1,127 +1,77 @@ import argparse import olefile -import os import re +import json +import copy -# class ParametricFile: -# records = [] -# #public String signature -# -# def getJSON(self): -# return JSONObject(records.get(0)); -# -# def load(self, inputStream): -# records = [] -# while (inputStream.available() >= 4): -# record = self.readRecord(inputStream) -# if (record != b''): -# records.append(record) -# -# signature = records.pop(0)["HEADER"] -# self.buildObjectHierarchy() -# return len(records) > 0 -# -# def buildObjectHierarchy(self): -# for i, current in enumerate(self.records): -# s = current["OWNERINDEX"] -# if (s == None | | len(s) == 0): -# s = "0" -# ownerIndex = int(s) -# -# self.records.remove(i) -# -# Map < String, Object > owner = records.get(ownerIndex); -# List < Object > children = (List < Object >) -# owner.get("children"); -# if (children == null): -# children = new -# ArrayList < Object > (); -# owner.put("children", children); -# -# children.add(current); -# -# def readRecord(inputStream): -# line = readLine(inputStream) -# -# if (line == null): return null -# -# result = [] -# -# pairs = line.split("|") -# for (String pair: pairs) { -# if (pair.trim().isEmpty()) -# continue; -# -# data = pair.split("=") -# if (data.length == 2) { -# result.put(data[0], data[1]) - # -# -# -# return result -# -# - # def readLine(inputStream): - # length = inputStream.readInt() - # if (length == -1): - # return None - # - # buffer = new - # byte[length] - # inputStream.read(buffer, 0, length) - # if (buffer[0] == 0): - # return None - # - # return new - # String(buffer).split("\u0000")[0] - # - # BLOCKSIZE = 4096 - # result = [] - # current = '' - # for block in iter(lambda: fp.read(BLOCKSIZE), ''): - # current += block - # while 1: - # markerpos = current.find(marker) - # if markerpos == -1: - # break - # result.append(current[:markerpos]) - # current = current[markerpos + len(marker):] - # result.append(current) - # return result - - -def parse(input, output, **kwargs): +def parse(input, output, json_format, **kwargs): fullPath = input - print(fullPath) blah = olefile.OleFileIO(fullPath) stream = blah.openstream('FileHeader') - - pattern = re.compile(b'.{3}\x00\x00\|') - lines = pattern.split(stream.read()[5:-1]) - records = [] + # split binary stream into lines using a repeated 5 byte signature + pattern = re.compile(b'.{3}\x00\x00\|') + lines = pattern.split(stream.read()[5:-1]) # lopping off first 4 bytes, and last byte, since they don't seem to matter? + + schematic = {} + + datums = [] + + # loop through every "line" and parse each into a dictionary for line in lines: - record = {} + datum = {} pairs = line.split(b"|") for pair in pairs: data = pair.split(b"=") - if len(data) == 2: - record[data[0].decode()] = data[1].decode() + datum[data[0].decode()] = data[1].decode() - records.append(record) + datums.append(datum) - print(records) - + # separate out the header dictionary from the "records" dictionaries + schematic["header"] = [x for x in datums if 'HEADER' in x.keys()] + schematic["records"] = [x for x in datums if 'RECORD' in x.keys()] + + # prep a scratchpad copy of records to build hierarchy from + records_copy = copy.deepcopy(schematic["records"]) + schematic["hierarchy"] = [] + + # loop through all "records" and organize them into owner/children + for i, current in enumerate(records_copy): + current['index'] = i + s = current.get("OWNERINDEX") + if (s is None): + schematic["hierarchy"].append(current) + else: + ownerIndex = int(s) + + owner = records_copy[ownerIndex] + if (owner.get("children") == None): + owner["children"] = [] + + owner["children"].append(current) + + if json_format == 'hierarchy': + schematic["records"] = schematic["hierarchy"] + + schematic.pop("hierarchy", None) + + if output: + json_file = open(output, 'w') + json.dump(schematic, json_file) + else: + print(schematic) if __name__ == "__main__": parser = argparse.ArgumentParser(description='Converts Altium .SchDoc files into json.') parser.add_argument('--input', '-i', dest='input', - help='schdoc file to parse') + help='path/to/altiumschematic.schdoc file to parse') parser.add_argument('--output', '-o', dest='output', - help='file to output json to, otherwise prints to terminal') + help='path/to/jsonfile.json file to output json to, otherwise prints to terminal') + parser.add_argument('json_format', default='hierarchy', nargs='?', choices=['flat', 'hierarchy'], + help='Organize records into owner/child "hierarchy" or leave as a "flat" list.') args = parser.parse_args() parse(**vars(args)) \ No newline at end of file diff --git a/requirements.txt b/requirements.txt new file mode 100644 index 0000000..658f12a --- /dev/null +++ b/requirements.txt @@ -0,0 +1 @@ +olefile>=0.46 diff --git a/setup.py b/setup.py new file mode 100644 index 0000000..bc1301e --- /dev/null +++ b/setup.py @@ -0,0 +1,16 @@ +from setuptools import setup + +with open("README.md", "r") as fh: + long_description = fh.read() + +setup( + name='Altium-Schematic-Parser', + version='0.0.0', + packages=[''], + url='https://github.com/a3ng7n/Altium-Schematic-Parser', + license='see LICENSE', + author='Aaron Goldstein', + author_email='aaronmgoldstein@gmail.com', + description='Converts Altium .SchDoc files into json', + long_description=long_description +) From 5151cbad041dff11c89bac9be778f3773c715fa3 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 00:34:33 -0800 Subject: [PATCH 06/11] Remove printing from parse function --- .gitignore | 1 + parse.py | 15 +++++++++------ setup.py | 3 ++- 3 files changed, 12 insertions(+), 7 deletions(-) diff --git a/.gitignore b/.gitignore index 389ff30..b6ace70 100644 --- a/.gitignore +++ b/.gitignore @@ -48,3 +48,4 @@ Temporary Items /venv/ /.ipynb_checkpoints/ /.idea/ +*.json diff --git a/parse.py b/parse.py index 6829046..ba315f1 100644 --- a/parse.py +++ b/parse.py @@ -58,11 +58,8 @@ def parse(input, output, json_format, **kwargs): schematic.pop("hierarchy", None) - if output: - json_file = open(output, 'w') - json.dump(schematic, json_file) - else: - print(schematic) + return schematic + if __name__ == "__main__": parser = argparse.ArgumentParser(description='Converts Altium .SchDoc files into json.') @@ -74,4 +71,10 @@ if __name__ == "__main__": help='Organize records into owner/child "hierarchy" or leave as a "flat" list.') args = parser.parse_args() - parse(**vars(args)) \ No newline at end of file + schematic = parse(**vars(args)) + + if args.output: + json_file = open(args.output, 'w') + json.dump(schematic, json_file) + else: + print(schematic) \ No newline at end of file diff --git a/setup.py b/setup.py index bc1301e..b041e21 100644 --- a/setup.py +++ b/setup.py @@ -12,5 +12,6 @@ setup( author='Aaron Goldstein', author_email='aaronmgoldstein@gmail.com', description='Converts Altium .SchDoc files into json', - long_description=long_description + long_description=long_description, + long_description_content_type="text/markdown" ) From 22ad351161ebafa4312645c0ceee28200e102bd8 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 00:38:19 -0800 Subject: [PATCH 07/11] Cleanup --- parse.py | 2 +- setup.py | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/parse.py b/parse.py index ba315f1..6ab9883 100644 --- a/parse.py +++ b/parse.py @@ -4,7 +4,7 @@ import re import json import copy -def parse(input, output, json_format, **kwargs): +def parse(input, json_format, **kwargs): fullPath = input blah = olefile.OleFileIO(fullPath) diff --git a/setup.py b/setup.py index b041e21..478867a 100644 --- a/setup.py +++ b/setup.py @@ -1,4 +1,4 @@ -from setuptools import setup +from setuptools import setup, find_packages with open("README.md", "r") as fh: long_description = fh.read() @@ -6,7 +6,7 @@ with open("README.md", "r") as fh: setup( name='Altium-Schematic-Parser', version='0.0.0', - packages=[''], + packages=find_packages(), url='https://github.com/a3ng7n/Altium-Schematic-Parser', license='see LICENSE', author='Aaron Goldstein', From b0e33c9f2c835dbed4101e030e95132ca8583dbb Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 00:51:00 -0800 Subject: [PATCH 08/11] Move altium example files to tests folder --- .../CIS/Example DBLib/PcbLibraries/Discrete.PcbLib | Bin ...ay Cera-Mite Ceramic Axial-Lead Capacitor.PcbLib | Bin .../Vishay Draloric Ceramic Disc Capacitor.PcbLib | Bin ...Vishay Draloric Ceramic Tubular Capacitor.PcbLib | Bin ...Vishay Electrolytic Radial-Lead Capacitor.PcbLib | Bin ...erstein Electrolytic Axial-Lead Capacitor.PcbLib | Bin ...rstein Electrolytic Radial-Lead Capacitor.PcbLib | Bin ...stein Electrolytic Snap-In Pins Capacitor.PcbLib | Bin ...rstein Electrolytic Solder Ring Capacitor.PcbLib | Bin ...oederstein Tantalum Radial-Lead Capacitor.PcbLib | Bin ...hay Sprague Tantalum Axial-Lead Capacitor.PcbLib | Bin .../Vishay Sprague Tantalum Chip Capacitor.PcbLib | Bin ...ay Sprague Tantalum Radial-Lead Capacitor.PcbLib | Bin ...ay Sprague Tantalum Triple-Lead Capacitor.PcbLib | Bin ...ay Tansitor Tantalum Axial-Lead Capacitor.PcbLib | Bin ...y Tansitor Tantalum Radial-Lead Capacitor.PcbLib | Bin .../Vishay Vitramon Ceramic Dipped Capacitor.PcbLib | Bin .../CIS/Example DBLib/SchLibraries/Discretes.SCHLIB | Bin .../CIS/Example DBLib/VishayCapacitor.DBLib | 0 .../CIS/Example DBLib/VishayCapacitor.mdb | Bin .../altium_crap}/CIS/Example database.mdb | Bin .../555 Astable Multivibrator.PRJPCB | 0 .../555 Astable Multivibrator.PRJPCBStructure | 0 .../555 Astable Multivibrator.cfg | 0 .../555 Astable Multivibrator.schdoc | Bin .../Circuit Sim/555 Astable Multivibrator/555.ckt | 0 .../Out/555 Astable Multivibrator.nsx | 0 .../Out/555 Astable Multivibrator.sdf | Bin .../555 Monostable Multivibrator.PRJPCB | 0 .../555 Monostable Multivibrator.PRJPCBStructure | 0 .../555 Monostable Multivibrator.cfg | 0 .../555 Monostable Multivibrator.schdoc | Bin .../555 Monostable Multivibrator/555.ckt | 0 .../Out/555 Monostable Multivibrator.nsx | 0 .../Out/555 Monostable Multivibrator.sdf | Bin .../Circuit Sim/741 Operational Amplifier/1N914.mdl | 0 .../741 Operational Amplifier/2N2222A.mdl | 0 .../741 Operational Amplifier.PRJPCB | 0 .../741 Operational Amplifier.PRJPCBStructure | 0 .../741 Operational Amplifier.cfg | 0 .../741 Operational Amplifier.schdoc | Bin .../Out/741 Operational Amplifier.nsx | 0 .../Out/741 Operational Amplifier.sdf | Bin .../Circuit Sim/Amplified Modulator/2N2222A.mdl | 0 .../Amplified Modulator/Amplified Modulator.PRJPCB | 0 .../Amplified Modulator.PRJPCBStructure | 0 .../Amplified Modulator/Amplified Modulator.cfg | 0 .../Amplified Modulator/Amplified Modulator.schdoc | Bin .../Amplified Modulator/Amplifier.schdoc | Bin .../Amplified Modulator/Modulator.schdoc | Bin .../Amplified Modulator/Out/Amplified Modulator.nsx | 0 .../Amplified Modulator/Out/Amplified Modulator.sdf | Bin .../Analog Amplifier/Analog Amplifier.PRJPCB | 0 .../Analog Amplifier.PRJPCBStructure | 0 .../Analog Amplifier/Analog Amplifier.cfg | 0 .../Analog Amplifier/Analog Amplifier.schdoc | Bin .../Analog Amplifier/Out/Analog Amplifier.nsx | 0 .../Analog Amplifier/Out/Analog Amplifier.sdf | Bin .../Circuit Sim/Analog Amplifier/UA741.ckt | 0 .../Circuit Sim/Analog Relay/12VSPDT.ckt | 0 .../Circuit Sim/Analog Relay/Analog Relay.PRJPCB | 0 .../Analog Relay/Analog Relay.PRJPCBStructure | 0 .../Circuit Sim/Analog Relay/Analog Relay.cfg | 0 .../Circuit Sim/Analog Relay/Analog Relay.schdoc | Bin .../Circuit Sim/Analog Relay/Out/Analog Relay.nsx | 0 .../Circuit Sim/Analog Relay/Out/Analog Relay.sdf | Bin .../Circuit Sim/BCD-to-7 Segment Decoder/2N4410.mdl | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/74LS00.mdl | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/74LS04.mdl | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/74LS10.mdl | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/74LS20.mdl | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/74LS30.mdl | 0 .../BCD-to-7 Segment Decoder/74LS373.mdl | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/74LS90.mdl | 0 .../BCD-to-7 Segment Decoder/BCDto7.PRJPCB | 0 .../BCD-to-7 Segment Decoder/BCDto7.PRJPCBStructure | 0 .../Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.cfg | 0 .../BCD-to-7 Segment Decoder/BCDto7.schdoc | Bin .../BCD-to-7 Segment Decoder/Out/BCDto7.nsx | 0 .../BCD-to-7 Segment Decoder/Out/BCDto7.sdf | Bin .../Circuit Sim/BCD-to-7 Segment Decoder/REDCA.ckt | 0 .../Circuit Sim/Bandpass Amplifier/2N3906.mdl | 0 .../Bandpass Amplifier/Bandpass Amplifier.PRJPCB | 0 .../Bandpass Amplifier.PRJPCBStructure | 0 .../Bandpass Amplifier/Bandpass Amplifier.cfg | 0 .../Bandpass Amplifier/Bandpass Amplifier.schdoc | Bin .../Bandpass Amplifier/Out/Bandpass Amplifier.nsx | 0 .../Bandpass Amplifier/Out/Bandpass Amplifier.sdf | Bin .../Bandpass Filter/Bandpass Filter.PRJPCB | 0 .../Bandpass Filter/Bandpass Filter.PRJPCBStructure | 0 .../Circuit Sim/Bandpass Filter/Bandpass Filter.cfg | 0 .../Bandpass Filter/Bandpass Filter.schdoc | Bin .../Bandpass Filter/Out/Bandpass Filter.nsx | 0 .../Bandpass Filter/Out/Bandpass Filter.sdf | Bin .../Circuit Sim/Bandpass Filter/UA741.ckt | 0 .../Circuit Sim/Basic Power Supply/1N4002.mdl | 0 .../Basic Power Supply/Basic Power Supply.PRJPCB | 0 .../Basic Power Supply.PRJPCBStructure | 0 .../Basic Power Supply/Basic Power Supply.cfg | 0 .../Basic Power Supply/Basic Power Supply.schdoc | Bin .../Basic Power Supply/Out/Basic Power Supply.nsx | 0 .../Basic Power Supply/Out/Basic Power Supply.sdf | Bin .../Circuit Sim/Bistable Multivibrator/1N914.mdl | 0 .../Circuit Sim/Bistable Multivibrator/2N3904.mdl | 0 .../Bistable Multivibrator.PRJPCB | 0 .../Bistable Multivibrator.PRJPCBStructure | 0 .../Bistable Multivibrator.RPT | 0 .../Bistable Multivibrator.cfg | 0 .../Bistable Multivibrator.schdoc | Bin .../Out/Bistable Multivibrator.nsx | 0 .../Out/Bistable Multivibrator.sdf | Bin .../Boost Converter/Boost Converter.PcbDoc | Bin .../Boost Converter/Boost Converter.PrjPCB | 0 .../Boost Converter/Boost Converter.PrjPCBStructure | 0 .../Boost Converter/Boost Converter.SchDoc | Bin .../Boost Converter/SIMetrix Devices.IntLib | Bin .../Charging and Discharging Capacitors.PRJPCB | 0 ...rging and Discharging Capacitors.PRJPCBStructure | 0 .../Charging and Discharging Capacitors.cfg | 0 .../Charging and Discharging Capacitors.schdoc | Bin .../Out/Charging and Discharging Capacitors.nsx | 0 .../Out/Charging and Discharging Capacitors.sdf | Bin .../1N914.mdl | 0 .../2N3904.mdl | 0 .../Collector Coupled Astable Multivibrator.PRJPCB | 0 ...or Coupled Astable Multivibrator.PRJPCBStructure | 0 .../Collector Coupled Astable Multivibrator.cfg | 0 .../Collector Coupled Astable Multivibrator.schdoc | Bin .../Out/Collector Coupled Astable Multivibrator.nsx | 0 .../Out/Collector Coupled Astable Multivibrator.sdf | Bin .../Common Source JFET Amplifier/2N4393.mdl | 0 .../Common Source JFET Amplifier.PRJPCB | 0 .../Common Source JFET Amplifier.PRJPCBStructure | 0 .../Common Source JFET Amplifier.cfg | 0 .../Common Source JFET Amplifier.schdoc | Bin .../Out/Common Source JFET Amplifier.nsx | 0 .../Out/Common Source JFET Amplifier.sdf | Bin .../Circuit Sim/Common-Base Amplifier/2N3904.mdl | 0 .../Common-Base Amplifier.PRJPCB | 0 .../Common-Base Amplifier.PRJPCBStructure | 0 .../Common-Base Amplifier/Common-Base Amplifier.cfg | 0 .../Common-Base Amplifier.schdoc | Bin .../Out/Common-Base Amplifier.nsx | 0 .../Out/Common-Base Amplifier.sdf | Bin .../Common-Emmiter Amplifier/2N2222A.mdl | 0 .../Common-Emmiter Amplifier.PRJPCB | 0 .../Common-Emmiter Amplifier.PRJPCBStructure | 0 .../Common-Emmiter Amplifier.cfg | 0 .../Common-Emmiter Amplifier.schdoc | Bin .../Out/Common-Emmiter Amplifier.nsx | 0 .../Out/Common-Emmiter Amplifier.sdf | Bin .../Circuit Sim/Crystal Oscillator/3.5795MHZ.ckt | 0 .../Crystal Oscillator/Crystal Oscillator.PRJPCB | 0 .../Crystal Oscillator.PRJPCBStructure | 0 .../Crystal Oscillator/Crystal Oscillator.cfg | 0 .../Crystal Oscillator/Crystal Oscillator.schdoc | Bin .../Circuit Sim/Crystal Oscillator/NMOS.mdl | 0 .../Crystal Oscillator/Out/Crystal Oscillator.nsx | 0 .../Crystal Oscillator/Out/Crystal Oscillator.sdf | Bin .../Circuit Sim/Crystal Oscillator/PMOS.mdl | 0 .../Circuit Sim/Differential Amplifier/1N914.mdl | 0 .../Circuit Sim/Differential Amplifier/2N2222A.mdl | 0 .../Differential Amplifier.PRJPCB | 0 .../Differential Amplifier.PRJPCBStructure | 0 .../Differential Amplifier.cfg | 0 .../Differential Amplifier.schdoc | Bin .../Out/Differential Amplifier.nsx | 0 .../Out/Differential Amplifier.sdf | Bin .../Circuit Sim/Differential Amplifier/SUBV.ckt | 0 .../Diode Reverse Recovery/Diode Model.SchDoc | Bin .../Diode Reverse Recovery Test Circuit.SchDoc | Bin .../Diode Reverse Recovery.PrjPCB | 0 .../Diode Reverse Recovery.PrjPCBStructure | 0 .../Diode Reverse Recovery.net | 0 .../Diode Reverse Recovery.sdf | Bin .../Diode Reverse Recovery/SIMPLIS Devices.IntLib | Bin .../Circuit Sim/Diode Reverse Recovery/sw.mdl | 0 .../Dual Polarity Power Supply/1N4736.mdl | 0 .../Dual Polarity Power Supply/2N3904.mdl | 0 .../Dual Polarity Power Supply/2N3906.mdl | 0 .../Dual Polarity Power Supply/5TO1CT.ckt | 0 .../Dual Polarity Power Supply/BRIDGE.ckt | 0 .../Dual Polarity Power Supply.PRJPCB | 0 .../Dual Polarity Power Supply.PRJPCBStructure | 0 .../Dual Polarity Power Supply.cfg | 0 .../Dual Polarity Power Supply.schdoc | Bin .../Out/Dual Polarity Power Supply.nsx | 0 .../Out/Dual Polarity Power Supply.sdf | Bin .../altium_crap}/Circuit Sim/Filter/Filter.PRJPCB | 0 .../Circuit Sim/Filter/Filter.PRJPCBStructure | 0 .../altium_crap}/Circuit Sim/Filter/Filter.cfg | 0 .../altium_crap}/Circuit Sim/Filter/Filter.schdoc | Bin .../altium_crap}/Circuit Sim/Filter/LF411C.ckt | 0 .../altium_crap}/Circuit Sim/Filter/Out/Filter.nsx | 0 .../altium_crap}/Circuit Sim/Filter/Out/Filter.sdf | Bin .../Frequency To Voltage Converter/FTOV.ckt | 0 .../Frequency To Voltage Converter.PRJPCB | 0 .../Frequency To Voltage Converter.PRJPCBStructure | 0 .../Frequency To Voltage Converter.cfg | 0 .../Frequency To Voltage Converter.schdoc | Bin .../Out/Frequency To Voltage Converter.nsx | 0 .../Out/Frequency To Voltage Converter.sdf | Bin .../Full-Bridge Converter.PcbDoc | Bin .../Full-Bridge Converter.PrjPCB | 0 .../Full-Bridge Converter.PrjPCBStructure | 0 .../Full-Bridge Converter.SchDoc | Bin .../Full-Bridge Converter.net | 0 .../Full-Bridge Converter.sdf | 0 .../Full-Bridge Converter/SIMPLIS Devices.IntLib | Bin .../Full-Bridge Converter/SIMetrix Devices.IntLib | Bin .../altium_crap}/Circuit Sim/Fuse/FUSE.ckt | 0 .../altium_crap}/Circuit Sim/Fuse/Fuse.PRJPCB | 0 .../Circuit Sim/Fuse/Fuse.PRJPCBStructure | 0 .../altium_crap}/Circuit Sim/Fuse/Out/Fuse.nsx | 0 .../altium_crap}/Circuit Sim/Fuse/Out/Fuse.sdf | Bin .../altium_crap}/Circuit Sim/Fuse/fuse.cfg | 0 .../altium_crap}/Circuit Sim/Fuse/fuse.schdoc | Bin .../Lossless Transmission Line.PRJPCB | 0 .../Lossless Transmission Line.PRJPCBStructure | 0 .../Lossless Transmission Line.cfg | 0 .../Lossless Transmission Line.schdoc | Bin .../Out/Lossless Transmission Line.nsx | 0 .../Out/Lossless Transmission Line.sdf | Bin .../Circuit Sim/Mathematical Function/ADDV.ckt | 0 .../Circuit Sim/Mathematical Function/ATANHV.ckt | 0 .../Mathematical Function.PRJPCB | 0 .../Mathematical Function.PRJPCBStructure | 0 .../Mathematical Function/Mathematical Function.cfg | 0 .../Mathematical Function.schdoc | Bin .../Out/Mathematical Function.nsx | 0 .../Out/Mathematical Function.sdf | Bin .../Circuit Sim/Mathematical Function/UNARYV.ckt | 0 .../Mixed-mode Binary Ripple 555/555.ckt | 0 .../Mixed-mode Binary Ripple 555/74LS04.mdl | 0 .../Mixed-mode Binary Ripple 555/74LS112.mdl | 0 .../Mixed-mode Binary Ripple 555.PRJPCB | 0 .../Mixed-mode Binary Ripple 555.PRJPCBStructure | 0 .../Mixed-mode Binary Ripple 555.cfg | 0 .../Mixed-mode Binary Ripple 555.schdoc | Bin .../Mixed-mode Binary Ripple 555/NPN.mdl | 0 .../Out/Mixed-mode Binary Ripple 555.nsx | 0 .../Out/Mixed-mode Binary Ripple 555.sdf | Bin .../Mixed-mode Binary Ripple 93/74LS04.mdl | 0 .../Mixed-mode Binary Ripple 93/74LS112.mdl | 0 .../Mixed-mode Binary Ripple 93.PRJPCB | 0 .../Mixed-mode Binary Ripple 93.PRJPCBStructure | 0 .../Mixed-mode Binary Ripple 93.cfg | 0 .../Mixed-mode Binary Ripple 93.schdoc | Bin .../Circuit Sim/Mixed-mode Binary Ripple 93/NPN.mdl | 0 .../Out/Mixed-mode Binary Ripple 93.nsx | 0 .../Out/Mixed-mode Binary Ripple 93.sdf | Bin .../altium_crap}/Circuit Sim/Oscillator/4011.mdl | 0 .../Circuit Sim/Oscillator/Oscillator.PRJPCB | 0 .../Oscillator/Oscillator.PRJPCBStructure | 0 .../Circuit Sim/Oscillator/Oscillator.cfg | 0 .../Circuit Sim/Oscillator/Oscillator.schdoc | Bin .../Circuit Sim/Oscillator/Out/Oscillator.nsx | 0 .../Circuit Sim/Oscillator/Out/Oscillator.sdf | Bin .../PSpice Examples/Capacitor/Capacitor.PrjPCB | 0 .../Capacitor/Capacitor.PrjPCBStructure | 0 .../PSpice Examples/Capacitor/Capacitor.SchDoc | Bin .../PSpice Examples/Capacitor/CustomCap.mdl | 0 .../PSpice Examples/Capacitor/Out/Capacitor.nsx | 0 .../PSpice Examples/Capacitor/Out/Capacitor.sdf | Bin .../Circuit Sim/PSpice Examples/Diode/Diode.PrjPCB | 0 .../PSpice Examples/Diode/Diode.PrjPCBStructure | 0 .../Circuit Sim/PSpice Examples/Diode/Diode.SchDoc | Bin .../Circuit Sim/PSpice Examples/Diode/Diode.mdl | 0 .../Circuit Sim/PSpice Examples/Diode/Out/Diode.nsx | 0 .../Circuit Sim/PSpice Examples/Diode/Out/Diode.sdf | Bin .../Global Parameters/Global Params.PrjPCB | 0 .../Global Parameters/Global Params.PrjPCBStructure | 0 .../Global Parameters/Global Params.SchDoc | Bin .../Global Parameters/Out/Global Params.nsx | 0 .../Global Parameters/Out/Global Params.sdf | Bin .../PSpice Examples/Inductor/Inductor.PRJPCB | 0 .../Inductor/Inductor.PRJPCBStructure | 0 .../PSpice Examples/Inductor/Inductor.SchDoc | Bin .../PSpice Examples/Inductor/Out/Inductor.nsx | 0 .../PSpice Examples/Inductor/Out/Inductor.sdf | Bin .../PSpice Examples/Inductor/pspiceIND.mdl | 0 .../Circuit Sim/PSpice Examples/JFET/JFET.PrjPCB | 0 .../PSpice Examples/JFET/JFET.PrjPCBStructure | 0 .../Circuit Sim/PSpice Examples/JFET/JFET.SchDoc | Bin .../Circuit Sim/PSpice Examples/JFET/JFET.mdl | 0 .../Circuit Sim/PSpice Examples/JFET/Out/JFET.nsx | 0 .../Circuit Sim/PSpice Examples/JFET/Out/JFET.sdf | Bin .../PSpice switch/Out/PSpice Switch.nsx | 0 .../PSpice switch/Out/PSpice Switch.sdf | Bin .../PSpice switch/PSpice Switch.PrjPCB | 0 .../PSpice switch/PSpice Switch.PrjPCBStructure | 0 .../PSpice switch/PSpice Switch.SchDoc | Bin .../PSpice Examples/PSpice switch/SwitchMod.mdl | 0 .../PSpice Examples/Resistor/Out/Resistor.nsx | 0 .../PSpice Examples/Resistor/Out/Resistor.sdf | Bin .../PSpice Examples/Resistor/PSpiceResistor.mdl | 0 .../PSpice Examples/Resistor/Resistor.PrjPCB | 0 .../Resistor/Resistor.PrjPCBStructure | 0 .../PSpice Examples/Resistor/Resistor.SchDoc | Bin .../PSpice Examples/VCVS - POLY/EPOLY.PrjPCB | 0 .../VCVS - POLY/EPOLY.PrjPCBStructure | 0 .../PSpice Examples/VCVS - POLY/EPOLY.SCHDOC | Bin .../PSpice Examples/VCVS - POLY/ESRC_POLY.ckt | 0 .../PSpice Examples/VCVS - POLY/Out/EPOLY.nsx | 0 .../PSpice Examples/VCVS - POLY/Out/EPOLY.sdf | Bin .../PSpice Examples/VCVS - Table/ESRC_TABLE.ckt | 0 .../PSpice Examples/VCVS - Table/Out/TABLE.nsx | 0 .../PSpice Examples/VCVS - Table/Out/TABLE.sdf | Bin .../PSpice Examples/VCVS - Table/TABLE.PrjPCB | 0 .../VCVS - Table/TABLE.PrjPCBStructure | 0 .../PSpice Examples/VCVS - Table/Table.SCHDOC | Bin .../PSpice Examples/VCVS - Value/ESRC_VALUE.ckt | 0 .../PSpice Examples/VCVS - Value/EVALUE.PrjPCB | 0 .../VCVS - Value/EVALUE.PrjPCBStructure | 0 .../PSpice Examples/VCVS - Value/EVALUE.SchDoc | Bin .../PSpice Examples/VCVS - Value/Out/EVALUE.nsx | 0 .../PSpice Examples/VCVS - Value/Out/EVALUE.sdf | Bin .../Circuit Sim/PWL File/Out/PWL File.sdf | Bin .../Circuit Sim/PWL File/PWL File.PrjPCB | 0 .../Circuit Sim/PWL File/PWL File.PrjPCBStructure | 0 .../Circuit Sim/PWL File/PWL File.SchDoc | Bin .../altium_crap}/Circuit Sim/PWL File/pwl1.pwl | 0 .../Circuit Sim/Peak Detector/1N914.mdl | 0 .../Circuit Sim/Peak Detector/2N2222.mdl | 0 .../Circuit Sim/Peak Detector/Out/Peak Detector.nsx | 0 .../Circuit Sim/Peak Detector/Out/Peak Detector.sdf | Bin .../Circuit Sim/Peak Detector/Peak Detector.PRJPCB | 0 .../Peak Detector/Peak Detector.PRJPCBStructure | 0 .../Circuit Sim/Peak Detector/Peak Detector.cfg | 0 .../Circuit Sim/Peak Detector/Peak Detector.schdoc | Bin .../Circuit Sim/Peak Detector/UA741.ckt | 0 .../Phase Lock Loop/Out/Phase Lock Loop.nsx | 0 .../Phase Lock Loop/Out/Phase Lock Loop.sdf | Bin .../Circuit Sim/Phase Lock Loop/PLL100k.ckt | 0 .../Phase Lock Loop/Phase Lock Loop.PRJPCB | 0 .../Phase Lock Loop/Phase Lock Loop.PRJPCBStructure | 0 .../Circuit Sim/Phase Lock Loop/Phase Lock Loop.cfg | 0 .../Phase Lock Loop/Phase Lock Loop.schdoc | Bin .../Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCB | 0 .../Pole-Zero/Bandpass/Bandpass.PrjPCBStructure | 0 .../Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchDoc | Bin .../Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchLib | Bin .../Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.nsx | 0 .../Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.sdf | Bin .../Pole-Zero/Simple PZ/Out/Simple PZ.nsx | 0 .../Pole-Zero/Simple PZ/Out/Simple PZ.sdf | Bin .../Pole-Zero/Simple PZ/Simple PZ.PrjPCB | 0 .../Pole-Zero/Simple PZ/Simple PZ.PrjPCBStructure | 0 .../Pole-Zero/Simple PZ/Simple PZ.SchDoc | Bin .../Pole-Zero/Simple RC/Out/Simple RC.nsx | 0 .../Pole-Zero/Simple RC/Out/Simple RC.sdf | Bin .../Pole-Zero/Simple RC/Simple RC.PrjPCB | 0 .../Pole-Zero/Simple RC/Simple RC.PrjPCBStructure | 0 .../Pole-Zero/Simple RC/Simple RC.SchDoc | Bin .../Potentiometer Sweep/Band-Pass Filter.SchDoc | Bin .../Potentiometer Sweep/Out/Potentiometer Sweep.sdf | Bin .../Potentiometer Sweep/Potentiometer Sweep.PrjPCB | 0 .../Potentiometer Sweep.PrjPCBStructure | 0 .../altium_crap}/Circuit Sim/Power Supply/10TO1.ckt | 0 .../Circuit Sim/Power Supply/18DB10.ckt | 0 .../Circuit Sim/Power Supply/1N4736.mdl | 0 .../Circuit Sim/Power Supply/2N2222A.mdl | 0 .../Circuit Sim/Power Supply/Out/Power Supply.nsx | 0 .../Circuit Sim/Power Supply/Out/Power Supply.sdf | Bin .../Circuit Sim/Power Supply/Power Supply.PRJPCB | 0 .../Power Supply/Power Supply.PRJPCBStructure | 0 .../Circuit Sim/Power Supply/Power Supply.cfg | 0 .../Circuit Sim/Power Supply/Power Supply.schdoc | Bin .../Programmable Unijunction Transistor/2N6027.ckt | 0 .../Programmable Unijunction Transistor/LM301A.ckt | 0 .../Out/Programmable Unijunction Transistor.nsx | 0 .../Out/Programmable Unijunction Transistor.sdf | Bin .../Programmable Unijunction Transistor.PRJPCB | 0 ...grammable Unijunction Transistor.PRJPCBStructure | 0 .../Programmable Unijunction Transistor.cfg | 0 .../Programmable Unijunction Transistor.schdoc | Bin .../Circuit Sim/Push-Pull Amplifier/2N2219A.mdl | 0 .../Circuit Sim/Push-Pull Amplifier/2N2905A.mdl | 0 .../Push-Pull Amplifier/Out/Push-Pull Amplifier.nsx | 0 .../Push-Pull Amplifier/Out/Push-Pull Amplifier.sdf | Bin .../Push-Pull Amplifier/Push-Pull Amplifier.PRJPCB | 0 .../Push-Pull Amplifier.PRJPCBStructure | 0 .../Push-Pull Amplifier/Push-Pull Amplifier.cfg | 0 .../Push-Pull Amplifier/Push-Pull Amplifier.schdoc | Bin .../Circuit Sim/RIAA Amplifier/12AX7.ckt | 0 .../RIAA Amplifier/Out/RIAA Amplifier.nsx | 0 .../RIAA Amplifier/Out/RIAA Amplifier.sdf | Bin .../RIAA Amplifier/RIAA Amplifier.PRJPCB | 0 .../RIAA Amplifier/RIAA Amplifier.PRJPCBStructure | 0 .../Circuit Sim/RIAA Amplifier/RIAA Amplifier.cfg | 0 .../RIAA Amplifier/RIAA Amplifier.schdoc | Bin .../Circuit Sim/Schmitt Trigger Oscillator/4093.mdl | 0 .../Out/Schmitt Trigger Oscillator.nsx | 0 .../Out/Schmitt Trigger Oscillator.sdf | Bin .../Schmitt Trigger Oscillator.PRJPCB | 0 .../Schmitt Trigger Oscillator.PRJPCBStructure | 0 .../Schmitt Trigger Oscillator.cfg | 0 .../Schmitt Trigger Oscillator.schdoc | Bin .../Self-Oscillating Converter.net | 0 .../Self-Oscillating Converter.sdf | 0 .../SIMPLIS Devices.IntLib | Bin .../SIMetrix Devices.IntLib | Bin .../Self-Oscillating Converter.PrjPCB | 0 .../Self-Oscillating Converter.PrjPCBStructure | 0 .../Self-Oscillating Converter.SchDoc | Bin .../Simple RC Circuit/Out/Simple RC Circuit.nsx | 0 .../Simple RC Circuit/Out/Simple RC Circuit.sdf | Bin .../Simple RC Circuit/Simple RC Circuit.PRJPCB | 0 .../Simple RC Circuit.PRJPCBStructure | 0 .../Simple RC Circuit/Simple RC Circuit.cfg | 0 .../Simple RC Circuit/Simple RC Circuit.schdoc | Bin .../Circuit Sim/State Machine/74LS04.mdl | 0 .../Circuit Sim/State Machine/74LS08.mdl | 0 .../Circuit Sim/State Machine/74LS173.mdl | 0 .../Circuit Sim/State Machine/74LS32.mdl | 0 .../Circuit Sim/State Machine/Out/State.nsx | 0 .../Circuit Sim/State Machine/Out/State.sdf | Bin .../Circuit Sim/State Machine/State.PRJPCB | 0 .../Circuit Sim/State Machine/State.PRJPCBStructure | 0 .../Circuit Sim/State Machine/State.cfg | 0 .../Circuit Sim/State Machine/State.schdoc | Bin .../Circuit Sim/Unijunction Transistor/2N2646.ckt | 0 .../Out/Unijunction Transistor.nsx | 0 .../Out/Unijunction Transistor.sdf | Bin .../Unijunction Transistor.PRJPCB | 0 .../Unijunction Transistor.PRJPCBStructure | 0 .../Unijunction Transistor.cfg | 0 .../Unijunction Transistor.schdoc | Bin .../Vaccum-Tube Power Amplifier/6L6GC.ckt | 0 .../Vaccum-Tube Power Amplifier/6SN7.ckt | 0 .../Vaccum-Tube Power Amplifier/7199P.ckt | 0 .../Vaccum-Tube Power Amplifier/7199T.ckt | 0 .../Out/Vaccum-Tube Power Amplifier.nsx | 0 .../Out/Vaccum-Tube Power Amplifier.sdf | Bin .../Vaccum-Tube Power Amplifier.PRJPCB | 0 .../Vaccum-Tube Power Amplifier.PRJPCBStructure | 0 .../Vaccum-Tube Power Amplifier.cfg | 0 .../Vaccum-Tube Power Amplifier.schdoc | Bin .../Out/Voltage Controlled Oscillator.nsx | 0 .../Out/Voltage Controlled Oscillator.sdf | Bin .../Voltage Controlled Oscillator/SQRVCO.ckt | 0 .../Voltage Controlled Oscillator.PRJPCB | 0 .../Voltage Controlled Oscillator.PRJPCBStructure | 0 .../Voltage Controlled Oscillator.cfg | 0 .../Voltage Controlled Oscillator.schdoc | Bin .../altium_crap}/PCB Auto-Routing/BOARD 1.pcbdoc | Bin .../altium_crap}/PCB Auto-Routing/BOARD 2.pcbdoc | Bin .../altium_crap}/PCB Auto-Routing/BOARD 3.pcbdoc | Bin .../PCB Auto-Routing/PCB Auto-Routing.PrjPCB | 0 .../PCB Auto-Routing/Routed BOARD 1.pcbdoc | Bin .../PCB Auto-Routing/Routed BOARD 2.pcbdoc | Bin .../PCB Auto-Routing/Routed BOARD 3.pcbdoc | Bin .../DXP/ClientServerInterfacesReport.pas | 0 .../Delphiscript Scripts/DXP/DXPVersion.PrjScr | 0 .../Delphiscript Scripts/DXP/DXPVersionUnit.pas | 0 .../Delphiscript Scripts/DXP/DXP_Scripts.PrjScr | 0 .../DXP/ExtractFilesFromIntLibs.pas | 0 .../Delphiscript Scripts/DXP/IntLibSearchDemo.pas | 0 .../Scripts/Delphiscript Scripts/DXP/OpenADoc.pas | 0 .../Delphiscript Scripts/DXP/ReportIntLibData.pas | 0 .../Delphiscript Scripts/DXP/ReportPCBViews.pas | 0 .../DXP/ServerProcessReport.pas | 0 .../DXP/SettingDocumentDirty.pas | 0 .../FPGA/SwapIdsUpdater/CheckNameValueUnit.PAS | 0 .../FPGA/SwapIdsUpdater/FPGASwapIds_Project1.PrjScr | 0 .../FPGA/SwapIdsUpdater/UpdateConstraintUnit.dfm | 0 .../FPGA/SwapIdsUpdater/UpdateConstraintUnit.pas | 0 .../General/General_Scripts.PRJSCR | 0 .../Delphiscript Scripts/General/HelloWorld.PRJSCR | 0 .../Delphiscript Scripts/General/HelloWorld.pas | 0 .../General/HelloWorldDialog.dfm | 0 .../General/HelloWorldDialog.pas | 0 .../Delphiscript Scripts/General/IniFileEg.PAS | 0 .../Delphiscript Scripts/General/MandelBrot.dfm | 0 .../Delphiscript Scripts/General/MandelBrot.pas | 0 .../Scripts/Delphiscript Scripts/General/Protel.avi | Bin .../Delphiscript Scripts/General/ShowModalEg.dfm | 0 .../Delphiscript Scripts/General/ShowModalEg.pas | 0 .../General/TextFileConvert.PAS | 0 .../General/TextFileConvert.dfm | 0 .../Delphiscript Scripts/General/UpdateTime.dfm | 0 .../Delphiscript Scripts/General/UpdateTime.pas | 0 .../Delphiscript Scripts/General/Zipper.PRJSCR | 0 .../Delphiscript Scripts/General/ZipperForm.PAS | 0 .../Delphiscript Scripts/General/ZipperForm.dfm | 0 .../Scripts/Delphiscript Scripts/General/input.txt | 0 .../Scripts/Delphiscript Scripts/General/mm.dfm | 0 .../Scripts/Delphiscript Scripts/General/mm.pas | 0 .../Delphiscript Scripts/General/sinewave.dfm | 0 .../Delphiscript Scripts/General/sinewave.pas | 0 .../Scripts/Delphiscript Scripts/General/tictac.dfm | 0 .../Scripts/Delphiscript Scripts/General/tictac.pas | 0 .../PCB/BoardOutline Copier/CopyBoardOutline.PrjScr | 0 .../BoardOutline Copier/CopyBoardOutlineForm.dfm | 0 .../BoardOutline Copier/CopyBoardOutlineForm.pas | 0 .../PCB/BoardOutline Copier/LayerComboBox.pas | 0 .../PCB/Count_Connection_Lines.pas | 0 .../Scripts/Delphiscript Scripts/PCB/CreateAVia.pas | 0 .../PCB/CreateComponentOnPCB.pas | 0 .../PCB/CreateFootprintInLibrary.pas | 0 .../Delphiscript Scripts/PCB/CreatePCBObjects.PAS | 0 .../ConstructContourSetFromPicture.pas | 0 .../ConstructRegionsFromContourSet.pas | 0 .../PCB/CreateRegionsFromBitmap/ConvertPicture.PAS | 0 .../PCB/CreateRegionsFromBitmap/ConvertPicture.dfm | 0 .../PCBPictureCreator.PRJSCR | 0 .../PCB/CreateRegionsFromBitmap/altiumlogo.bmp | Bin .../Delphiscript Scripts/PCB/CreateRules.pas | 0 .../PCB/Cycle_Pcb_Cursor_Type.pas | 0 .../Delphiscript Scripts/PCB/DeletePCBObjects.pas | 0 .../Delphiscript Scripts/PCB/EmbeddedObjects.pas | 0 .../PCB/FootPrint Finder/FindFootprintUnit.PAS | 0 .../PCB/FootPrint Finder/FindFootprintUnit.dfm | 0 .../PCB/FootPrint Finder/FootprintFinder.PrjScr | 0 .../CreateInterComponentFromTos.pas | 0 .../PCB/FromTos Generator/FromTosGenerator.PrjScr | 0 .../PCB/FromTos Generator/formFormTos.dfm | 0 .../PCB/FromTos Generator/formFormTos.pas | 0 .../PCB/Hole Size Editor/Customsort.pas | 0 .../PCB/Hole Size Editor/HSEditForm.dfm | 0 .../PCB/Hole Size Editor/HSEditForm.pas | 0 .../PCB/Hole Size Editor/HSForm.PAS | 0 .../PCB/Hole Size Editor/HSForm.dfm | 0 .../PCB/Hole Size Editor/Hole Size Editor.PRJSCR | 0 .../PCB/Mill Exporter/MMExportDlg.dfm | 0 .../PCB/Mill Exporter/MMExportDlg.pas | 0 .../PCB/Mill Exporter/MMSetupDlg.dfm | 0 .../PCB/Mill Exporter/MMSetupDlg.pas | 0 .../PCB/Mill Exporter/MillExporter.PrjScr | 0 .../PCB/Mill Exporter/MillExporter.pas | 0 .../Delphiscript Scripts/PCB/NetObjectAssign.pas | 0 .../PCB Class Generator/PCB_Class_Generator.PrjScr | 0 .../PCB_Class_Generator_Form.dfm | 0 .../PCB_Class_Generator_Form.pas | 0 .../PCB/PCB Iterators/CountTracksInComponent.pas | 0 .../PCB/PCB Iterators/Count_Pads.pas | 0 .../PCB/PCB Iterators/IterateComponentBodies.pas | 0 .../PCB/PCB Iterators/IterateNets.PAS | 0 .../PCB/PCB Iterators/IteratePolygons.pas | 0 .../PCB/PCB Iterators/IterateRegions.pas | 0 .../PCB/PCB Iterators/LibraryIterator.pas | 0 .../PCB/PCB Iterators/PCB_Iterators.PrjScr | 0 .../PCB/PCB Iterators/Polygons.PcbDoc | Bin .../PCB/PCB Iterators/SpatialIterator.Pas | 0 .../PCB/PCB Layers/Layers_Info.pas | 0 .../PCB/PCB Layers/PCB_Layers.PrjScr | 0 .../PCB/PCB Layers/QueryLayerPairs.pas | 0 .../PCB/PCB Layers/QueryLayerStack.pas | 0 .../PCB/PCB Layers/QueryLayersOfMechType.PAS | 0 .../PCB/PCB Layers/QueryMechLayers.pas | 0 .../PCB/PCB Layers/QueryUsedLayers.pas | 0 .../PCB Layers/RebuildInternalAndSplitPlanes.pas | 0 .../PCB/PCB Layers/Toggle_Connect_layer_display.pas | 0 .../PCB/PCB Layers/ValidateLayerStack.pas | 0 .../PCB/PCB Logo Creator/Converter.PAS | 0 .../PCB/PCB Logo Creator/Converter.dfm | 0 .../PCB/PCB Logo Creator/LayerComboBox.pas | 0 .../PCB/PCB Logo Creator/PCBLogoCreator.PRJSCR | 0 .../PCB/PCB Logo Creator/altiumlogo.bmp | Bin .../PCB/PCB Logo Creator/test1.bmp | Bin .../PCB/PCB Logo Creator/test2.bmp | Bin .../PCB/PCB Objects Mover/OffSetObjects.Pas | 0 .../PCB/PCB Objects Mover/OffSetObjectsForm.PAS | 0 .../PCB/PCB Objects Mover/OffSetObjectsForm.dfm | 0 .../PCB/PCB Objects Mover/PCBObjectsMover.PRJSCR | 0 .../PCB/PCB Outputs/GetObjectClasses.dfm | 0 .../PCB/PCB Outputs/GetObjectClasses.pas | 0 .../PCB/PCB Outputs/PCBOutputGenerator.pas | 0 .../PCB/PCB Outputs/PCBOutputsProject.PrjScr | 0 .../PCB/PCB Outputs/Violations.pas | 0 .../PCB/PCB Rules/IterateRules.pas | 0 .../PCB/PCB Rules/ModifyWidthRules.pas | 0 .../PCB/PCB Rules/PCBRules.PrjScr | 0 .../PCB/PCBLIB Outputs/FootprintInfo.PrjScr | 0 .../PCB/PCBLIB Outputs/FootprintInfoReport.pas | 0 .../Delphiscript Scripts/PCB/PCB_Scripts.prjscr | 0 .../Delphiscript Scripts/PCB/PadStackInfo.pas | 0 .../PCB/PadViaCacheProperties.PAS | 0 .../Delphiscript Scripts/PCB/PadViaExamples.PcbDoc | Bin .../Scripts/Delphiscript Scripts/PCB/QueryBoard.pas | 0 .../SwapOrRotateComponents/SwapComponentsUnit.pas | 0 .../SwapOrRotateComponents.PRJSCR | 0 .../PCB/UnRoute Net Class/Form_ChooseNetClass.PAS | 0 .../PCB/UnRoute Net Class/Form_ChooseNetClass.dfm | 0 .../PCB/UnRoute Net Class/Unroute Net Class.PRJSCR | 0 .../Scripts/Delphiscript Scripts/PCB/Undo.pas | 0 .../PCB/Unique Object Checker/Common.pas | 0 .../PCB/Unique Object Checker/ObjectChecker.pas | 0 .../UniqueObjectChecker.PrjScr | 0 .../PCB/Values Checker/PCBAPI functions.pas | 0 .../PCB/Values Checker/ValuesChecker.PrjScr | 0 .../PCB/Values Checker/ValuesCheckerUnit.dfm | 0 .../PCB/Values Checker/ValuesCheckerUnit.pas | 0 .../Delphiscript Scripts/Processes/CirWiz.dfm | 0 .../Delphiscript Scripts/Processes/CirWiz.pas | 0 .../Delphiscript Scripts/Processes/Clrins1.pas | 0 .../Delphiscript Scripts/Processes/Clrins2.pas | 0 .../Processes/ImportWaveforms.pas | 0 .../Processes/PlacePCBPolygon.pas | 0 .../Delphiscript Scripts/Processes/PlaceSchPort.pas | 0 .../Processes/Process_Scripts.PrjScr | 0 .../Processes/PublishToPDFScript.pas | 0 .../Delphiscript Scripts/Processes/Quikcopy.pas | 0 .../Processes/RunCommonDialog.pas | 0 .../Delphiscript Scripts/Processes/ShowNetList.pas | 0 .../Processes/SimpleExample.pas | 0 .../Delphiscript Scripts/Processes/pcbcolor.pas | 0 .../Delphiscript Scripts/Processes/pcblayer.pas | 0 .../SCH/AddModelToComponentScript.pas | 0 .../SCH/Circad Translator/DesignSettings.pas | 0 .../SCH/Circad Translator/ImportUtils.pas | 0 .../SCH/Circad Translator/InputForm.dfm | 0 .../SCH/Circad Translator/InputForm.pas | 0 .../SCH/Circad Translator/Log.pas | 0 .../SCH/Circad Translator/SchTranslator.PrjScr | 0 .../SCH/Circad Translator/StringUtils.pas | 0 .../SCH/Circad Translator/Test.pas | 0 .../Delphiscript Scripts/SCH/CompLibReader.pas | 0 .../SCH/CompReplace/ComponentReplacer.PRJSCR | 0 .../SCH/CompReplace/ReplaceSelectedComponent.PAS | 0 .../SCH/CompReplace/ReplaceSelectedComponent.dfm | 0 .../SCH/Connectivity/Connectivity.pas | 0 .../SCH/Connectivity/DefnForm.dfm | 0 .../SCH/Connectivity/DefnForm.pas | 0 .../SCH/Connectivity/NetInfoPrj.PrjPCB | 0 .../Scripts/Delphiscript Scripts/SCH/CountPorts.pas | 0 .../Delphiscript Scripts/SCH/CreateSchObjects.pas | 0 .../Delphiscript Scripts/SCH/DeleteSchObjects.pas | 0 .../Delphiscript Scripts/SCH/FetchParameters.pas | 0 .../Delphiscript Scripts/SCH/FetchParamsOfComp.pas | 0 .../Delphiscript Scripts/SCH/FetchPinsOfComps.pas | 0 .../SCH/Font Editor/Fonts Editor.PrjScr | 0 .../SCH/Font Editor/FontsDialog.dfm | 0 .../SCH/Font Editor/FontsDialog.pas | 0 .../Delphiscript Scripts/SCH/Form_AlignPins.dfm | 0 .../Delphiscript Scripts/SCH/Form_AlignPins.pas | 0 .../SCH/Import Pins/ChangeMappingForm.dfm | 0 .../SCH/Import Pins/ChangeMappingForm.pas | 0 .../SCH/Import Pins/ConvUtils.pas | 0 .../SCH/Import Pins/ImportPins.PRJSCR | 0 .../SCH/Import Pins/ImportPinsForm.dfm | 0 .../SCH/Import Pins/ImportPinsForm.pas | 0 .../SCH/Import Pins/PinData.csv | 0 .../Delphiscript Scripts/SCH/IterateSchSheets.pas | 0 .../Delphiscript Scripts/SCH/ModifySchObjects.pas | 0 .../Delphiscript Scripts/SCH/MoveParameters.pas | 0 .../SCH/ParametersOfSymbolsInALibrary.pas | 0 .../Scripts/Delphiscript Scripts/SCH/PlaceAPort.pas | 0 .../Delphiscript Scripts/SCH/PlaceSchObjects.PAS | 0 .../Delphiscript Scripts/SCH/ReplaceSchObjects.pas | 0 .../Delphiscript Scripts/SCH/SchDocsRenamer.pas | 0 .../Delphiscript Scripts/SCH/SchLib_Scripts.PrjScr | 0 .../Delphiscript Scripts/SCH/Sch_Scripts.PRJSCR | 0 .../SCH/SimModelsOfComponents.pas | 0 .../SCH/SymbolPrimsIterator.pas | 0 .../Scripts/Delphiscript Scripts/SCH/UndoRedo.pas | 0 .../FootprintPathUpdater.PRJSCR | 0 .../UpdateFootprintPathsOfComps.dfm | 0 .../UpdateFootprintPathsOfComps.pas | 0 .../UserDefinedParameters/ComponentParameters.pas | 0 .../UserDefinedCompParameters.PrjScr | 0 .../Delphiscript Scripts/SCH/UsingSchInterfaces.pas | 0 .../Scripts/Delphiscript Scripts/SCH/checkpins.pas | 0 .../Delphiscript Scripts/SCH/createcomp_in_lib.pas | 0 .../SCH/createcomponent_on_sch.pas | 0 .../Delphiscript Scripts/SCH/libiterator.pas | 0 .../Delphiscript Scripts/SCH/modelsofacomponent.pas | 0 .../SCH/parentchild_iterators.pas | 0 .../SCH/usingAspatialiterator.pas | 0 .../Delphiscript Scripts/SCH/usingWSMInterfaces.pas | 0 .../Delphiscript Scripts/WSM/AddDocToProject.pas | 0 .../WSM/Export To Agile/AgileBOMV1.1.PrjScr | 0 .../WSM/Export To Agile/AgileBOMV1.1.dfm | 0 .../WSM/Export To Agile/AgileBOMV1.1.pas | 0 .../Scripts/Delphiscript Scripts/WSM/Netlister.pas | 0 .../Delphiscript Scripts/WSM/ProjectReporter.pas | 0 .../Protel Netlister/ScripterProtelNetlist.PRJSCR | 0 .../WSM/Protel Netlister/ScripterProtelNetlist.pas | 0 .../Delphiscript Scripts/WSM/UsingMessagePanel.pas | 0 .../Delphiscript Scripts/WSM/WSM_Scripts.PrjScr | 0 .../Scripts/EnableBasic Scripts/CirWiz.bas | 0 .../Scripts/EnableBasic Scripts/Clrins1.bas | 0 .../Scripts/EnableBasic Scripts/Clrins2.bas | 0 .../EnableBasic Scripts/EnableBasic_Scripts.PrjScr | 0 .../Scripts/EnableBasic Scripts/PcbColor.bas | 0 .../Scripts/EnableBasic Scripts/PcbLayer.bas | 0 .../Scripts/EnableBasic Scripts/PlaceSchPort.bas | 0 .../Scripts/EnableBasic Scripts/Quikcopy.bas | 0 .../Scripts/JScript Scripts/AddDocToProject.js | 0 .../JScript Scripts/AddDocToProjectPRJ.PRJSCR | 0 .../Scripts/JScript Scripts/BuiltInFunctions.js | 0 .../JScript Scripts/BuiltInFunctionsPRJ.PRJSCR | 0 .../JScript Scripts/CopyBoardOutlineForm.dfm | 0 .../Scripts/JScript Scripts/CopyBoardOutlineForm.js | 0 .../JScript Scripts/CopyBoardOutlinePRJ.PRJSCR | 0 .../Scripts/JScript Scripts/GetScriptEngineInfo.js | 0 .../JScript Scripts/GetScriptEngineInfoPRJ.PRJSCR | 0 .../Scripts/JScript Scripts/HelloWorld.js | 0 .../Scripts/JScript Scripts/HelloWorldPRJ.PRJSCR | 0 .../JScript Scripts/ParentChild_Iterators.js | 0 .../JScript Scripts/ParentChild_IteratorsPRJ.PRJSCR | 0 .../Scripts/JScript Scripts/ServerProcessReport.js | 0 .../JScript Scripts/ServerProcessReportPRJ.PRJSCR | 0 .../Scripts/JScript Scripts/SineWave.dfm | 0 .../Scripts/JScript Scripts/SineWave.js | 0 .../Scripts/JScript Scripts/SineWavePRJ.PRJSCR | 0 .../Scripts/JScript Scripts/ViaCreation.js | 0 .../Scripts/JScript Scripts/ViaCreationPRJ.PRJSCR | 0 .../Scripts/Query Scripts/SimpleExpression.pas | 0 .../Scripts/Query Scripts/UsingFilterPanel.PrjScr | 0 .../Scripts/VB Scripts/AddDocToProject.vbs | 0 .../Scripts/VB Scripts/AddDocToProjectPRJ.PRJSCR | 0 .../Scripts/VB Scripts/CopyBoardOutlineForm.dfm | 0 .../Scripts/VB Scripts/CopyBoardOutlineForm.vbs | 0 .../Scripts/VB Scripts/CopyBoardOutlinePRJ.PRJSCR | 0 .../Scripts/VB Scripts/GetScriptEngineInfo.vbs | 0 .../VB Scripts/GetScriptEngineInfoPRJ.PRJSCR | 0 .../altium_crap}/Scripts/VB Scripts/HelloWorld.vbs | 0 .../Scripts/VB Scripts/HelloWorldPRJ.PRJSCR | 0 .../VB Scripts/ParentChildIteratorsPRJ.PRJSCR | 0 .../Scripts/VB Scripts/ParentChild_Iterators.vbs | 0 .../Scripts/VB Scripts/ServerProcessReport.vbs | 0 .../VB Scripts/ServerProcessReportPRJ.PRJSCR | 0 .../altium_crap}/Scripts/VB Scripts/SineWave.dfm | 0 .../altium_crap}/Scripts/VB Scripts/SineWave.vbs | 0 .../Scripts/VB Scripts/SineWavePRJ.PRJSCR | 0 .../altium_crap}/Scripts/VB Scripts/ViaCreation.vbs | 0 .../Scripts/VB Scripts/ViaCreationPRJ.PRJSCR | 0 .../Differential Pair/Constraint 1.Constraint | 0 .../Differential Pair/Constraint 2.Constraint | 0 .../Differential Pair/DifferentialPair.PCBDOC | Bin .../Differential Pair/DifferentialPair.PrjPCB | 0 .../DifferentialPair.PrjPCBStructure | 0 .../Differential Pair/DifferentialPair.SchDoc | Bin .../Differential Pair/DifferentialPair.sdf | 0 .../Differential Pair/FPGA_Project1.PrjFpg | 0 .../Differential Pair/FPGA_Project1.PrjFpgStructure | 0 .../Differential Pair/FPGA_Project1.SchDoc | Bin .../Differential Pair/FPGA_Project2.PrjFpg | 0 .../Differential Pair/FPGA_Project2.PrjFpgStructure | 0 .../Differential Pair/FPGA_Project2.SchDoc | Bin .../Signal Integrity/NBP-28/D0_F_12mA.csv | 0 .../Signal Integrity/NBP-28/D0_F_16mA.csv | 0 .../Signal Integrity/NBP-28/D0_F_24mA.csv | 0 .../Signal Integrity/NBP-28/D0_F_2mA.csv | 0 .../Signal Integrity/NBP-28/D0_F_4mA.csv | 0 .../Signal Integrity/NBP-28/D0_F_6mA.csv | 0 .../Signal Integrity/NBP-28/D0_F_8mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_12mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_16mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_24mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_2mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_4mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_6mA.csv | 0 .../Signal Integrity/NBP-28/D0_S_8mA.csv | 0 .../Signal Integrity/NBP-28/FPGA.SCHDOC | Bin .../NBP-28/FPGA/IOStandardTest_1.Constraint | 0 .../NBP-28/FPGA/IOStandardsTests.PrjFpg | 0 .../NBP-28/FPGA/IOStandardsTests.PrjFpgStructure | 0 .../NBP-28/FPGA/IOStandardsTests.SchDoc | Bin .../NBP-28/FPGA/ProjectOutputs/IOStandardsTests.VHD | 0 .../Signal Integrity/NBP-28/FPGAProject_Top.SchDoc | Bin .../NBP-28/FPGA_IO_Standards.DsnWrk | 0 .../Signal Integrity/NBP-28/FPGA_MEMORIES.SCHDOC | Bin .../Signal Integrity/NBP-28/NBP-28 Rev 1.00.PCBDOC | Bin .../Signal Integrity/NBP-28/NBP-28.PRJPCB | 0 .../Signal Integrity/NBP-28/NBP-28.PRJPCBStructure | 0 .../altium_crap}/Signal Integrity/NBP-28/NBP-28.sdf | 0 .../Signal Integrity/NBP-28/PROCESSOR.SCHDOC | Bin .../NBP-28/PROCESSOR_MEMORIES.SCHDOC | Bin .../NBP-28/ProjectOutputs/FPGAProject_Top.VHD | 0 .../Signal Integrity/NBP-28/TOP_SHEET.SCHDOC | Bin .../NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.View | 0 .../ViewsOfNBP-28_PRJPCB/PCB Document.ViewIcon | Bin .../ViewsOfNBP-28_PRJPCB/PCB Document.ViewThumbnail | Bin .../ViewsOfNBP-28_PRJPCB/Top level schematic.View | 0 .../Top level schematic.ViewIcon | Bin .../Top level schematic.ViewThumbnail | Bin .../NBP-28/ViewsOfNBP-28_PRJPCB/index.ini | 0 .../NBP-28/ibis models/amd/lv640f63.ibs | 0 .../NBP-28/ibis models/micron/readme.txt | 0 .../NBP-28/ibis models/micron/y16a.ibs | 0 .../NBP-28/ibis models/micron/y16y.ibs | 0 .../NBP-28/ibis models/micron/y96a.ibs | 0 .../NBP-28/ibis models/sharp/lh79520.ibs | 0 .../Simple FPGA/Constraint1.Constraint | 0 .../Simple FPGA/FPGA_Project1.PrjFpg | 0 .../Simple FPGA/FPGA_Project1.PrjFpgStructure | 0 .../Signal Integrity/Simple FPGA/SI_Demo.PcbDoc | Bin .../Signal Integrity/Simple FPGA/Sheet1.SchDoc | Bin .../Simple FPGA/SimpleFPGA_SI_Demo.PrjPCB | 0 .../Simple FPGA/SimpleFPGA_SI_Demo.PrjPCBStructure | 0 .../Simple FPGA/SimpleFPGA_SI_Demo.SchDoc | Bin .../Simple FPGA/SimpleFPGA_SI_Demo.sdf | 0 .../Spirit Level/Documentation/Documentation.PrjPCB | 0 .../Spirit Level/Documentation/Documentation.Txt | 0 .../Spirit Level/Documentation/asccprj.scc | 0 .../PCB Issues Resolved/PCB Issues Resolved.PrjPcb | 0 .../PCB Issues Resolved.PrjPcbStructure | 0 .../SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc | Bin .../SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc | Bin .../PCB Issues Resolved/SL_Config_2E.SchDoc | Bin .../PCB Issues Resolved/SL_FPGA_Auto_2E.SchDoc | Bin .../PCB Issues Resolved/SL_LCD_SW_LED_2E.SchDoc | Bin .../PCB Issues Resolved/SL_Power.SchDoc | Bin .../Correcting issues.View | 0 .../Correcting issues.ViewIcon | Bin .../Correcting issues.ViewThumbnail | Bin .../JTAG_NEXUS_TCK.View | 0 .../JTAG_NEXUS_TCK.ViewIcon | Bin .../JTAG_NEXUS_TCK.ViewThumbnail | Bin .../ViewsOfPCB Issues Resolved_PrjPcb/index.ini | 0 .../Spirit Level/PCB Issues Resolved/asccprj.scc | 0 .../Spirit Level/PCB Issues/PCB Issues.PrjPcb | 0 .../PCB Issues/PCB Issues.PrjPcbStructure | 0 .../SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc | Bin .../SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc | Bin .../Spirit Level/PCB Issues/SL_Config_2E.SchDoc | Bin .../Spirit Level/PCB Issues/SL_FPGA_Auto_2E.SchDoc | Bin .../Spirit Level/PCB Issues/SL_LCD_SW_LED_2E.SchDoc | Bin .../Spirit Level/PCB Issues/SL_Power.SchDoc | Bin .../ViewsOfPCB Issues_PrjPcb/Differential pair.View | 0 .../Differential pair.ViewIcon | Bin .../Differential pair.ViewThumbnail | Bin .../ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.View | 0 .../JTAG_NEXUS_TCK.ViewIcon | Bin .../JTAG_NEXUS_TCK.ViewThumbnail | Bin .../ViewsOfPCB Issues_PrjPcb/PCB Issues.View | 0 .../ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewIcon | Bin .../PCB Issues.ViewThumbnail | Bin .../PCB Issues/ViewsOfPCB Issues_PrjPcb/index.ini | 0 .../Spirit Level/PCB Issues/asccprj.scc | 0 .../SCH Issues Resolved/SCH Issues Resolved.PrjPcb | 0 .../SCH Issues Resolved.PrjPcbStructure | 0 .../SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc | Bin .../SCH Issues Resolved/SL_Config_2E.SchDoc | Bin .../SCH Issues Resolved/SL_FPGA_Auto_2E.SchDoc | Bin .../SCH Issues Resolved/SL_LCD_SW_LED_2E.SchDoc | Bin .../SCH Issues Resolved/SL_Power.SchDoc | Bin .../Correcting issues.View | 0 .../Correcting issues.ViewIcon | Bin .../Correcting issues.ViewThumbnail | Bin .../ViewsOfSCH Issues Resolved_PrjPcb/RDone.View | 0 .../RDone.ViewIcon | Bin .../RDone.ViewThumbnail | Bin .../ViewsOfSCH Issues Resolved_PrjPcb/index.ini | 0 .../Spirit Level/SCH Issues Resolved/asccprj.scc | 0 .../Spirit Level/SCH Issues/SCH Issues.PrjPcb | 0 .../SCH Issues/SCH Issues.PrjPcbStructure | 0 .../SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc | Bin .../Spirit Level/SCH Issues/SL_Config_2E.SchDoc | Bin .../Spirit Level/SCH Issues/SL_FPGA_Auto_2E.SchDoc | Bin .../Spirit Level/SCH Issues/SL_LCD_SW_LED_2E.SchDoc | Bin .../Spirit Level/SCH Issues/SL_Power.SchDoc | Bin .../Accelerometer signals.View | 0 .../Accelerometer signals.ViewIcon | Bin .../Accelerometer signals.ViewThumbnail | Bin .../ViewsOfSCH Issues_PrjPcb/CCLK & DONE.View | 0 .../ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewIcon | Bin .../CCLK & DONE.ViewThumbnail | Bin .../ViewsOfSCH Issues_PrjPcb/Differential pair.View | 0 .../Differential pair.ViewIcon | Bin .../Differential pair.ViewThumbnail | Bin .../ViewsOfSCH Issues_PrjPcb/JTAG signals.View | 0 .../ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewIcon | Bin .../JTAG signals.ViewThumbnail | Bin .../Run Signal Integrity.View | 0 .../Run Signal Integrity.ViewIcon | Bin .../Run Signal Integrity.ViewThumbnail | Bin .../SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.View | 0 .../ViewsOfSCH Issues_PrjPcb/Setup.ViewIcon | Bin .../ViewsOfSCH Issues_PrjPcb/Setup.ViewThumbnail | Bin .../ViewsOfSCH Issues_PrjPcb/Stimuli.View | 0 .../ViewsOfSCH Issues_PrjPcb/Stimuli.ViewIcon | Bin .../ViewsOfSCH Issues_PrjPcb/Stimuli.ViewThumbnail | Bin .../ViewsOfSCH Issues_PrjPcb/Supply nets.View | 0 .../ViewsOfSCH Issues_PrjPcb/Supply nets.ViewIcon | Bin .../Supply nets.ViewThumbnail | Bin .../SCH Issues/ViewsOfSCH Issues_PrjPcb/index.ini | 0 .../Spirit Level/SCH Issues/asccprj.scc | 0 .../Spirit Level/Signal Integrity.DsnWrk | 0 .../Snippets/Code/VHDL BrightnessPalette.Snippet | 0 .../Code/VHDL BrightnessPalette.SnippetIcon | Bin .../Code/VHDL BrightnessPalette.SnippetThumbnail | Bin .../Snippets/Code/VHDL BrightnessPalette.VHD | 0 .../altium_crap}/Snippets/Code/index.ini | 0 .../altium_crap}/Snippets/PCB/4 by 4 Keypad.PcbDoc | Bin .../altium_crap}/Snippets/PCB/4 by 4 Keypad.Snippet | 0 .../Snippets/PCB/4 by 4 Keypad.SnippetIcon | Bin .../Snippets/PCB/4 by 4 Keypad.SnippetThumbnail | Bin .../Snippets/PCB/PQFP FPGA Fan-Out.PcbDoc | Bin .../Snippets/PCB/PQFP FPGA Fan-Out.Snippet | 0 .../Snippets/PCB/PQFP FPGA Fan-Out.SnippetIcon | Bin .../Snippets/PCB/PQFP FPGA Fan-Out.SnippetThumbnail | Bin .../altium_crap}/Snippets/PCB/index.ini | 0 .../Schematic/LiveDesign JTAG Header.SchDoc | Bin .../Schematic/LiveDesign JTAG Header.Snippet | 0 .../Schematic/LiveDesign JTAG Header.SnippetIcon | Bin .../LiveDesign JTAG Header.SnippetThumbnail | Bin .../Schematic/Power Connectors and Switch.SchDoc | Bin .../Schematic/Power Connectors and Switch.Snippet | 0 .../Power Connectors and Switch.SnippetIcon | Bin .../Power Connectors and Switch.SnippetThumbnail | Bin .../Schematic/Simple Regulator Circuit.SchDoc | Bin .../Schematic/Simple Regulator Circuit.Snippet | 0 .../Schematic/Simple Regulator Circuit.SnippetIcon | Bin .../Simple Regulator Circuit.SnippetThumbnail | Bin .../altium_crap}/Snippets/Schematic/index.ini | 0 .../Analog/MAX1037 ADC/Embedded/MAX1037_ADC.PrjEmb | 0 .../MAX1037 ADC/Embedded/MAX1037_ADC.SwPlatform | 0 .../Soft Designs/Analog/MAX1037 ADC/Embedded/main.c | 0 .../Analog/MAX1037 ADC/MAX1037_ADC.Constraint | 0 .../Analog/MAX1037 ADC/MAX1037_ADC.Harness | 0 .../Analog/MAX1037 ADC/MAX1037_ADC.PrjFpg | 0 .../Analog/MAX1037 ADC/MAX1037_ADC.PrjFpgStructure | 0 .../Analog/MAX1037 ADC/MAX1037_ADC.SchDoc | Bin .../Analog/MAX1037 ADC/MAX1037_ADC_System.Harness | 0 .../Analog/MAX1037 ADC/MAX1037_ADC_System.OpenBus | 0 .../NB2DSK01_08_DB30_04_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Embedded/MAX1229_CurrentSense.PrjEmb | 0 .../Embedded/MAX1229_CurrentSense.SwPlatform | 0 .../Analog/MAX1229 CurrentSense/Embedded/main.c | 0 .../MAX1229_CurrentSense.Constraint | 0 .../MAX1229_CurrentSense.Harness | 0 .../MAX1229_CurrentSense.OpenBusStructure | 0 .../MAX1229_CurrentSense.PrjFpg | 0 .../MAX1229_CurrentSense.PrjFpgStructure | 0 .../MAX1229_CurrentSense.SchDoc | Bin .../MAX1229_CurrentSense_System.Harness | 0 .../MAX1229_CurrentSense_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../MAX5841_DAC_MAX1037_ADC.Constraint | 0 .../MAX5841_DAC_MAX1037_ADC.Harness | 0 .../MAX5841_DAC_MAX1037_ADC.PrjFpg | 0 .../MAX5841_DAC_MAX1037_ADC.PrjFpgStructure | 0 .../MAX5841_DAC_MAX1037_ADC.SchDoc | Bin .../MAX5841_DAC_MAX1037_ADC_System.Harness | 0 .../MAX5841_DAC_MAX1037_ADC_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../embedded/MAX5841_DAC_MAX1037_ADC.PrjEmb | 0 .../embedded/MAX5841_DAC_MAX1037_ADC.SwPlatform | 0 .../Analog/MAX5841 DAC MAX1037 ADC/embedded/main.c | 0 .../Analog/MAX5841 DAC/Embedded/MAX5841_DAC.PrjEmb | 0 .../MAX5841 DAC/Embedded/MAX5841_DAC.SwPlatform | 0 .../Soft Designs/Analog/MAX5841 DAC/Embedded/main.c | 0 .../Analog/MAX5841 DAC/MAX5841_DAC.Constraint | 0 .../Analog/MAX5841 DAC/MAX5841_DAC.Harness | 0 .../Analog/MAX5841 DAC/MAX5841_DAC.PrjFpg | 0 .../Analog/MAX5841 DAC/MAX5841_DAC.PrjFpgStructure | 0 .../Analog/MAX5841 DAC/MAX5841_DAC.SchDoc | Bin .../Analog/MAX5841 DAC/MAX5841_DAC_System.Harness | 0 .../Analog/MAX5841 DAC/MAX5841_DAC_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../NB2 CurrentSense/Embedded/NB2CurrentSense.c | 0 .../NB2 CurrentSense/Embedded/NB2CurrentSense.h | 0 .../Embedded/NB2_CurrentSense.PrjEmb | 0 .../Embedded/NB2_CurrentSense.SwPlatform | 0 .../Analog/NB2 CurrentSense/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../NB2 CurrentSense/NB2_CurrentSense.Constraint | 0 .../NB2 CurrentSense/NB2_CurrentSense.Harness | 0 .../NB2_CurrentSense.OpenBusStructure | 0 .../Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpg | 0 .../NB2_CurrentSense.PrjFpgStructure | 0 .../Analog/NB2 CurrentSense/NB2_CurrentSense.SchDoc | Bin .../NB2_CurrentSense_System.Harness | 0 .../NB2_CurrentSense_System.OpenBus | 0 .../NB3000 ADC084S021/Embedded/adc084s021.PrjEmb | 0 .../Embedded/adc084s021.SwPlatform | 0 .../Analog/NB3000 ADC084S021/Embedded/main.c | 0 .../Analog/NB3000 ADC084S021/adc084s021.Constraint | 0 .../Analog/NB3000 ADC084S021/adc084s021.Harness | 0 .../Analog/NB3000 ADC084S021/adc084s021.PrjFpg | 0 .../NB3000 ADC084S021/adc084s021.PrjFpgStructure | 0 .../Analog/NB3000 ADC084S021/adc084s021.SchDoc | Bin .../NB3000 ADC084S021/adc084s021_System.Harness | 0 .../NB3000 ADC084S021/adc084s021_System.OpenBus | 0 .../NB3000 DAC084S085/Embedded/dac084s085.PrjEmb | 0 .../Embedded/dac084s085.SwPlatform | 0 .../Analog/NB3000 DAC084S085/Embedded/main.c | 0 .../Analog/NB3000 DAC084S085/dac084s085.Constraint | 0 .../Analog/NB3000 DAC084S085/dac084s085.Harness | 0 .../Analog/NB3000 DAC084S085/dac084s085.PrjFpg | 0 .../NB3000 DAC084S085/dac084s085.PrjFpgStructure | 0 .../Analog/NB3000 DAC084S085/dac084s085.SchDoc | Bin .../NB3000 DAC084S085/dac084s085_System.Harness | 0 .../NB3000 DAC084S085/dac084s085_System.OpenBus | 0 .../Soft Designs/Analog/PWM/Embedded/PWM.PrjEmb | 0 .../Soft Designs/Analog/PWM/Embedded/PWM.SwPlatform | 0 .../Soft Designs/Analog/PWM/Embedded/main.c | 0 .../PWM/NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../PWM/NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Analog/PWM/PWM.Constraint | 0 .../Soft Designs/Analog/PWM/PWM.Harness | 0 .../altium_crap}/Soft Designs/Analog/PWM/PWM.PrjFpg | 0 .../Soft Designs/Analog/PWM/PWM.PrjFpgStructure | 0 .../altium_crap}/Soft Designs/Analog/PWM/PWM.SchDoc | Bin .../Soft Designs/Analog/PWM/PWM_System.Harness | 0 .../Soft Designs/Analog/PWM/PWM_System.OpenBus | 0 .../Audio/Audio Service/Audio_Service.Constraint | 0 .../Audio/Audio Service/Audio_Service.Harness | 0 .../Audio/Audio Service/Audio_Service.PrjFpg | 0 .../Audio Service/Audio_Service.PrjFpgStructure | 0 .../Audio/Audio Service/Audio_Service.SchDoc | Bin .../Audio Service/Audio_Service_System.Harness | 0 .../Audio Service/Audio_Service_System.OpenBus | 0 .../Audio Service/Embedded/Audio_Service.PrjEmb | 0 .../Audio Service/Embedded/Audio_Service.SwPlatform | 0 .../Audio/Audio Service/Embedded/main.c | 0 .../Audio/Audio Service/Embedded/sound.wav | Bin .../Audio/Audio Service/Embedded/sound_bin.h | 0 .../Audio/Audio Service/Embedded/wave.c | 0 .../Audio/Audio Service/Embedded/wave.h | 0 .../NB2DSK01_08_DB30_04_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_04_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../CS4270_Audio_Codec.Constraint | 0 .../CS4270 Audio Codec/CS4270_Audio_Codec.Harness | 0 .../CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpg | 0 .../CS4270_Audio_Codec.PrjFpgStructure | 0 .../CS4270 Audio Codec/CS4270_Audio_Codec.SchDoc | Bin .../CS4270_Audio_Codec_System.Harness | 0 .../CS4270_Audio_Codec_System.OpenBus | 0 .../Embedded/CS4270_Audio_Codec.PrjEmb | 0 .../Embedded/CS4270_Audio_Codec.SwPlatform | 0 .../Audio/CS4270 Audio Codec/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Audio/MP3 Decoder/Embedded/MP3_Decoder.PrjEmb | 0 .../MP3 Decoder/Embedded/MP3_Decoder.SwPlatform | 0 .../Soft Designs/Audio/MP3 Decoder/Embedded/form1.c | 0 .../Soft Designs/Audio/MP3 Decoder/Embedded/form1.h | 0 .../Soft Designs/Audio/MP3 Decoder/Embedded/main.c | 0 .../Audio/MP3 Decoder/MP3_Decoder.Constraint | 0 .../Audio/MP3 Decoder/MP3_Decoder.Harness | 0 .../Audio/MP3 Decoder/MP3_Decoder.PrjFpg | 0 .../Audio/MP3 Decoder/MP3_Decoder.PrjFpgStructure | 0 .../Audio/MP3 Decoder/MP3_Decoder.SchDoc | Bin .../Audio/MP3 Decoder/MP3_Decoder_system.Harness | 0 .../Audio/MP3 Decoder/MP3_Decoder_system.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Embedded/NB3000_Audio_Service.PrjEmb | 0 .../Embedded/NB3000_Audio_Service.SwPlatform | 0 .../Embedded/NB3000_Audio_Service.c | 0 .../Audio/NB3000 Audio Service/Embedded/sound.wav | Bin .../Audio/NB3000 Audio Service/Embedded/sound_bin.h | 0 .../Audio/NB3000 Audio Service/Embedded/wave.c | 0 .../Audio/NB3000 Audio Service/Embedded/wave.h | 0 .../NB3000_Audio_Service.Constraint | 0 .../NB3000_Audio_Service.Harness | 0 .../NB3000_Audio_Service.PrjFpg | 0 .../NB3000_Audio_Service.PrjFpgStructure | 0 .../NB3000_Audio_Service.SchDoc | Bin .../NB3000_Audio_Service_System.Harness | 0 .../NB3000_Audio_Service_System.OpenBus | 0 .../Embedded/NB3000_CS4270_Audio_Codec.PrjEmb | 0 .../Embedded/NB3000_CS4270_Audio_Codec.SwPlatform | 0 .../Embedded/NB3000_CS4270_Audio_Codec.c | 0 .../NB3000_CS4270_Audio_Codec.Constraint | 0 .../NB3000_CS4270_Audio_Codec.Harness | 0 .../NB3000_CS4270_Audio_Codec.PrjFpg | 0 .../NB3000_CS4270_Audio_Codec.PrjFpgStructure | 0 .../NB3000_CS4270_Audio_Codec.SchDoc | Bin .../NB3000_CS4270_Audio_Codec_System.Harness | 0 .../NB3000_CS4270_Audio_Codec_System.OpenBus | 0 .../Embedded/NB3000_MP3_Decoder.PrjEmb | 0 .../Embedded/NB3000_MP3_Decoder.SwPlatform | 0 .../Audio/NB3000 MP3 Decoder/Embedded/form1.c | 0 .../Audio/NB3000 MP3 Decoder/Embedded/form1.h | 0 .../Audio/NB3000 MP3 Decoder/Embedded/main.c | 0 .../NB3000_MP3_Decoder.Constraint | 0 .../NB3000 MP3 Decoder/NB3000_MP3_Decoder.Harness | 0 .../NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpg | 0 .../NB3000_MP3_Decoder.PrjFpgStructure | 0 .../NB3000 MP3 Decoder/NB3000_MP3_Decoder.SchDoc | Bin .../NB3000_MP3_Decoder_System.Harness | 0 .../NB3000_MP3_Decoder_System.OpenBus | 0 .../Audio/NB3000 Midi/Embedded/NB3000_MIDI.PrjEmb | 0 .../NB3000 Midi/Embedded/NB3000_MIDI.SwPlatform | 0 .../Soft Designs/Audio/NB3000 Midi/Embedded/main.c | 0 .../Audio/NB3000 Midi/Embedded/midismf.c | 0 .../Audio/NB3000 Midi/Embedded/midismf.h | 0 .../Audio/NB3000 Midi/Embedded/sound.mid | Bin .../Audio/NB3000 Midi/NB3000_MIDI.Constraint | 0 .../Audio/NB3000 Midi/NB3000_MIDI.Harness | 0 .../Audio/NB3000 Midi/NB3000_MIDI.PrjFpg | 0 .../Audio/NB3000 Midi/NB3000_MIDI.PrjFpgStructure | 0 .../Audio/NB3000 Midi/NB3000_MIDI.SchDoc | Bin .../Audio/NB3000 Midi/NB3000_MIDI_System.Harness | 0 .../Audio/NB3000 Midi/NB3000_MIDI_System.OpenBus | 0 .../Audio/NB3000 SPDIF/Embedded/gitar.wav | Bin .../Soft Designs/Audio/NB3000 SPDIF/Embedded/main.c | 0 .../Audio/NB3000 SPDIF/Embedded/spdif_audio.PrjEmb | 0 .../NB3000 SPDIF/Embedded/spdif_audio.SwPlatform | 0 .../Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.c | 0 .../Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.h | 0 .../Audio/NB3000 SPDIF/spdif_audio.Constraint | 0 .../Audio/NB3000 SPDIF/spdif_audio.PrjFpg | 0 .../Audio/NB3000 SPDIF/spdif_audio.PrjFpgStructure | 0 .../Audio/NB3000 SPDIF/spdif_audio.SchDoc | Bin .../Audio/NB3000 SPDIF/spdif_audio_System.OpenBus | 0 .../C to Hardware/Audio DSP/Audio_DSP.Constraint | 0 .../C to Hardware/Audio DSP/Equalizer.SchDoc | Bin .../C to Hardware/Audio DSP/Filter.Harness | 0 .../C to Hardware/Audio DSP/Filter.SchDoc | Bin .../C to Hardware/Audio DSP/Form_Equalizer.dfm | 0 .../C to Hardware/Audio DSP/Form_Equalizer.pas | 0 .../C to Hardware/Audio DSP/Form_IO.dfm | 0 .../C to Hardware/Audio DSP/Form_IO.pas | 0 .../Soft Designs/C to Hardware/Audio DSP/IO.Harness | 0 .../Soft Designs/C to Hardware/Audio DSP/IO.SchDoc | Bin .../C to Hardware/Audio DSP/InstrumentScript.PrjScr | 0 .../C to Hardware/Audio DSP/Manage_Controls.pas | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../C to Hardware/Audio DSP/NB2_Audio_DSP.Harness | 0 .../C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpg | 0 .../Audio DSP/NB2_Audio_DSP.PrjFpgStructure | 0 .../C to Hardware/Audio DSP/NB2_Audio_DSP.SchDoc | Bin .../Audio DSP/NB3000_Audio_DSP.Harness | 0 .../C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpg | 0 .../Audio DSP/NB3000_Audio_DSP.PrjFpgStructure | 0 .../C to Hardware/Audio DSP/NB3000_Audio_DSP.SchDoc | Bin .../Soft Designs/C to Hardware/Audio DSP/biquad.c | 0 .../Soft Designs/C to Hardware/Audio DSP/clip.c | 0 .../Soft Designs/C to Hardware/Audio DSP/clip.h | 0 .../Soft Designs/C to Hardware/Audio DSP/control.c | 0 .../Soft Designs/C to Hardware/Audio DSP/delay.c | 0 .../Soft Designs/C to Hardware/Audio DSP/echo.c | 0 .../C to Hardware/Audio DSP/equalizer_main.c | 0 .../Soft Designs/C to Hardware/Audio DSP/filter.c | 0 .../Soft Designs/C to Hardware/Audio DSP/gain.c | 0 .../Soft Designs/C to Hardware/Audio DSP/input.c | 0 .../Soft Designs/C to Hardware/Audio DSP/output.c | 0 .../C to Hardware/Audio DSP/process_audio.h | 0 .../Soft Designs/C to Hardware/Audio DSP/vu.c | 0 .../C to Hardware/Calculator/Calculator.Constraint | 0 .../C to Hardware/Calculator/Calculator.PrjFpg | 0 .../Calculator/Calculator.PrjFpgStructure | 0 .../C to Hardware/Calculator/Calculator.SchDoc | Bin .../C to Hardware/Calculator/CalculatorFSM.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../CodeSymbols Explained/Clock.Constraint | 0 .../CodeSymbols Explained/CodeSymExample.SchDoc | Bin .../CodeSymbols Explained/CodeSymbolExample.PrjFpg | 0 .../CodeSymbolExample.PrjFpgStructure | 0 .../CodeSymbols Explained/Controller.PrjEmb | 0 .../NB2DSK01_07_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_04_BoardMapping.Constraint | 0 .../C to Hardware/CodeSymbols Explained/bitcount.c | 0 .../CodeSymbols Explained/controller_main.c | 0 .../C to Hardware/CodeSymbols Explained/convert.c | 0 .../C to Hardware/CodeSymbols Explained/format | 0 .../C to Hardware/CodeSymbols Explained/hardware.h | 0 .../CodeSymbols Explained/pack_float.c | 0 .../C to Hardware/CodeSymbols Explained/shot.c | 0 .../C to Hardware/CodeSymbols Explained/sqr_float.c | 0 .../C to Hardware/CodeSymbols Explained/sqrctrl.c | 0 .../C to Hardware/CodeSymbols Explained/swap.c | 0 .../C to Hardware/CodeSymbols Explained/target.c | 0 .../CodeSymbols Explained/unpack_float.c | 0 .../CodeSymbols Explained/wb_multi_manual.c | 0 .../C to Hardware/CodeSymbols Explained/win.c | 0 .../C to Hardware/CodeSymbols Explained/xor.c | 0 .../Edge Detection/Edge_Detection.Constraint | 0 .../Edge Detection/Edge_Detection.Harness | 0 .../Edge Detection/Edge_Detection.PrjFpg | 0 .../Edge Detection/Edge_Detection.PrjFpgStructure | 0 .../Edge Detection/Edge_Detection.SchDoc | Bin .../Edge Detection/Edge_Detection_System.Harness | 0 .../Edge Detection/Edge_Detection_System.OpenBus | 0 .../Edge Detection/Embedded/Edge_Detection.PrjEmb | 0 .../Embedded/Edge_Detection.SwPlatform | 0 .../Edge Detection/Embedded/background.jpg | Bin .../C to Hardware/Edge Detection/Embedded/edgedet.h | 0 .../Edge Detection/Embedded/hw_edgedet.c | 0 .../C to Hardware/Edge Detection/Embedded/main.c | 0 .../Edge Detection/Embedded/sw_edgedet.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../Floating Point/Embedded/Floating_Point.PrjEmb | 0 .../Embedded/Floating_Point.SwPlatform | 0 .../C to Hardware/Floating Point/Embedded/main.c | 0 .../Floating Point/Floating_Point.Constraint | 0 .../Floating Point/Floating_Point.Harness | 0 .../Floating Point/Floating_Point.PrjFpg | 0 .../Floating Point/Floating_Point.PrjFpgStructure | 0 .../Floating Point/Floating_Point.SchDoc | Bin .../Floating Point/Floating_Point_System.Harness | 0 .../Floating Point/Floating_Point_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../C to Hardware/Graphics/Graphics.Constraint | 0 .../C to Hardware/Graphics/Graphics.Harness | 0 .../C to Hardware/Graphics/Graphics.PrjFpg | 0 .../C to Hardware/Graphics/Graphics.PrjFpgStructure | 0 .../C to Hardware/Graphics/Graphics.SchDoc | Bin .../C to Hardware/Graphics/Graphics_System.Harness | 0 .../C to Hardware/Graphics/Graphics_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../C to Hardware/Graphics/embedded/Graphics.PrjEmb | 0 .../Graphics/embedded/Graphics.SwPlatform | 0 .../C to Hardware/Graphics/embedded/main.c | 0 .../C to Hardware/Graphics/embedded/testfuncs.c | 0 .../C to Hardware/Graphics/embedded/testfuncs.h | 0 .../Image Rotation/Embedded/Image_Rotation.PrjEmb | 0 .../Embedded/Image_Rotation.SwPlatform | 0 .../C to Hardware/Image Rotation/Embedded/main.c | 0 .../Image Rotation/Image_Rotation.Constraint | 0 .../Image Rotation/Image_Rotation.Harness | 0 .../Image Rotation/Image_Rotation.PrjFpg | 0 .../Image Rotation/Image_Rotation.PrjFpgStructure | 0 .../Image Rotation/Image_Rotation.SchDoc | Bin .../Image Rotation/Image_Rotation_System.Harness | 0 .../Image Rotation/Image_Rotation_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../Moving Average/Embedded/Moving_Average.PrjEmb | 0 .../Embedded/Moving_Average.SwPlatform | 0 .../C to Hardware/Moving Average/Embedded/main.c | 0 .../Moving Average/Moving_Average.Constraint | 0 .../Moving Average/Moving_Average.Harness | 0 .../Moving Average/Moving_Average.PrjFpg | 0 .../Moving Average/Moving_Average.PrjFpgStructure | 0 .../Moving Average/Moving_Average.SchDoc | Bin .../Moving Average/Moving_Average_System.Harness | 0 .../Moving Average/Moving_Average_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB3000 CHC Graphics/Clock.Constraint | 0 .../Embedded/NB3000_CHC_Graphics.PrjEmb | 0 .../Embedded/NB3000_CHC_Graphics.SwPlatform | 0 .../NB3000 CHC Graphics/Embedded/main.c | 0 .../NB3000 CHC Graphics/Embedded/testfuncs.c | 0 .../NB3000 CHC Graphics/Embedded/testfuncs.h | 0 .../NB3000 CHC Graphics/NB3000_CHC_Graphics.Harness | 0 .../NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpg | 0 .../NB3000_CHC_Graphics.PrjFpgStructure | 0 .../NB3000 CHC Graphics/NB3000_CHC_Graphics.SchDoc | Bin .../NB3000_CHC_Graphics_System.Harness | 0 .../NB3000_CHC_Graphics_System.OpenBus | 0 .../NB3000 CodeSymbols Explained/Clock.Constraint | 0 .../Embedded/NB3000_CodeSymbols_Explained.PrjEmb | 0 .../NB3000_CodeSymbols_Explained.SwPlatform | 0 .../NB3000 CodeSymbols Explained/Embedded/main.c | 0 .../Embedded/wb_multi_manual.c | 0 .../NB3000_CodeSymbols_Explained.PrjFpg | 0 .../NB3000_CodeSymbols_Explained.PrjFpgStructure | 0 .../NB3000_CodeSymbols_Explained.SchDoc | Bin .../NB3000 CodeSymbols Explained/bitcount.c | 0 .../NB3000 CodeSymbols Explained/convert.c | 0 .../NB3000 CodeSymbols Explained/pack_float.c | 0 .../NB3000 CodeSymbols Explained/shot.c | 0 .../NB3000 CodeSymbols Explained/sqr_float.c | 0 .../NB3000 CodeSymbols Explained/sqrctrl.c | 0 .../NB3000 CodeSymbols Explained/swap.c | 0 .../NB3000 CodeSymbols Explained/target.c | 0 .../NB3000 CodeSymbols Explained/unpack_float.c | 0 .../NB3000 CodeSymbols Explained/win.c | 0 .../NB3000 CodeSymbols Explained/xor.c | 0 .../Embedded/NB3000_Edge_Detection.PrjEmb | 0 .../Embedded/NB3000_Edge_Detection.SwPlatform | 0 .../Embedded/NB3000_Edge_Detection.c | 0 .../NB3000 Edge Detection/Embedded/background.jpg | Bin .../NB3000 Edge Detection/Embedded/edgedet.h | 0 .../NB3000 Edge Detection/Embedded/hw_edgedet.c | 0 .../NB3000 Edge Detection/Embedded/sw_edgedet.c | 0 .../NB3000AL_02_PB01_07_BoardMapping.Constraint | 0 .../NB3000LC_02_PB01_07_BoardMapping.Constraint | 0 .../NB3000XN_05_PB01_07_BoardMapping.Constraint | 0 .../NB3000_Edge_Detection.Constraint | 0 .../NB3000_Edge_Detection.Harness | 0 .../NB3000_Edge_Detection.PrjFpg | 0 .../NB3000_Edge_Detection.PrjFpgStructure | 0 .../NB3000_Edge_Detection.SchDoc | Bin .../NB3000_Edge_Detection_System.Harness | 0 .../NB3000_Edge_Detection_System.OpenBus | 0 .../Spinning 3D Cube/Embedded/3dcube.h | 0 .../Spinning 3D Cube/Embedded/3dcube_chc.c | 0 .../Spinning 3D Cube/Embedded/3dcube_chc.h | 0 .../Embedded/Spinning_3D_Cube.PrjEmb | 0 .../Embedded/Spinning_3D_Cube.SwPlatform | 0 .../Spinning 3D Cube/Embedded/arial8.c | 0 .../Spinning 3D Cube/Embedded/arial8.h | 0 .../Spinning 3D Cube/Embedded/bitmap.c | 0 .../Spinning 3D Cube/Embedded/bitmap.h | 0 .../C to Hardware/Spinning 3D Cube/Embedded/bmp.c | 0 .../C to Hardware/Spinning 3D Cube/Embedded/bmp.h | 0 .../C to Hardware/Spinning 3D Cube/Embedded/font.c | 0 .../C to Hardware/Spinning 3D Cube/Embedded/font.h | 0 .../C to Hardware/Spinning 3D Cube/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../Spinning 3D Cube/Spinning_3D_Cube.Constraint | 0 .../Spinning 3D Cube/Spinning_3D_Cube.Harness | 0 .../Spinning 3D Cube/Spinning_3D_Cube.PrjFpg | 0 .../Spinning_3D_Cube.PrjFpgStructure | 0 .../Spinning 3D Cube/Spinning_3D_Cube.SchDoc | Bin .../Spinning_3D_Cube_System.Harness | 0 .../Spinning_3D_Cube_System.OpenBus | 0 .../NB2DSK01_08_DB30_04_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_04_BoardMapping.Constraint | 0 .../NB3000XN_05_PB01_07_BoardMapping.Constraint | 0 .../VGA Video/NB3000_chc_vga_video.PrjFpg | 0 .../VGA Video/NB3000_chc_vga_video.PrjFpgStructure | 0 .../C to Hardware/VGA Video/NB3000_chc_video.SchDoc | Bin .../C to Hardware/VGA Video/add_histogram.c | 0 .../C to Hardware/VGA Video/calc_histogram.c | 0 .../C to Hardware/VGA Video/chc_vga_video.PrjFpg | 0 .../VGA Video/chc_vga_video.PrjFpgStructure | 0 .../C to Hardware/VGA Video/chc_video.Constraint | 0 .../C to Hardware/VGA Video/chc_video.Harness | 0 .../C to Hardware/VGA Video/chc_video.SchDoc | Bin .../C to Hardware/VGA Video/clear.Harness | 0 .../C to Hardware/VGA Video/clear.SchDoc | Bin .../C to Hardware/VGA Video/clear_screen.c | 0 .../C to Hardware/VGA Video/contrast_filter.c | 0 .../C to Hardware/VGA Video/filter.Harness | 0 .../C to Hardware/VGA Video/filter.SchDoc | Bin .../Soft Designs/C to Hardware/VGA Video/hardware.h | 0 .../C to Hardware/VGA Video/hsi2rgb.Harness | 0 .../C to Hardware/VGA Video/hsi2rgb.SchDoc | Bin .../Soft Designs/C to Hardware/VGA Video/hsi2rgb.c | 0 .../C to Hardware/VGA Video/hsi2rgb_color.c | 0 .../C to Hardware/VGA Video/hsi_filter.c | 0 .../C to Hardware/VGA Video/hsi_filters.Harness | 0 .../C to Hardware/VGA Video/hsi_filters.SchDoc | Bin .../Soft Designs/C to Hardware/VGA Video/init.c | 0 .../Soft Designs/C to Hardware/VGA Video/mul10x10.h | 0 .../Soft Designs/C to Hardware/VGA Video/mul11x11.h | 0 .../Soft Designs/C to Hardware/VGA Video/mul8x8.h | 0 .../Soft Designs/C to Hardware/VGA Video/mul9x9.h | 0 .../C to Hardware/VGA Video/pixel_pipeline.Harness | 0 .../C to Hardware/VGA Video/pixel_pipeline.SchDoc | Bin .../C to Hardware/VGA Video/pixel_pipelines.Harness | 0 .../C to Hardware/VGA Video/pixel_pipelines.SchDoc | Bin .../C to Hardware/VGA Video/pixel_select.c | 0 .../Soft Designs/C to Hardware/VGA Video/rgb2hsi.c | 0 .../C to Hardware/VGA Video/rgb_filter.c | 0 .../Soft Designs/C to Hardware/VGA Video/video.h | 0 .../Soft Designs/C to Hardware/VGA Video/wb2rgb.c | 0 .../C to Hardware/VGA Video/wb_mux.Harness | 0 .../C to Hardware/VGA Video/wb_mux6.Harness | 0 .../C to Hardware/VGA Video/wb_mux6.SchDoc | Bin .../C to Hardware/VGA Video/wishbone_adder.Harness | 0 .../C to Hardware/VGA Video/wishbone_adder.SchDoc | Bin .../C to Hardware/Video Demo/CHC_Video.Constraint | 0 .../C to Hardware/Video Demo/CHC_Video.PrjFpg | 0 .../Video Demo/CHC_Video.PrjFpgStructure | 0 .../C to Hardware/Video Demo/CHC_Video.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/C to Hardware/Video Demo/dblbuf.c | 0 .../Soft Designs/C to Hardware/Video Demo/process.c | 0 .../Soft Designs/C to Hardware/Video Demo/tft.c | 0 .../Soft Designs/C to Hardware/Video Demo/video.c | 0 .../Soft Designs/C to Hardware/Video Demo/video.h | 0 .../Soft Designs/C++/Complex/Complex.Constraint | 0 .../Soft Designs/C++/Complex/Complex.Harness | 0 .../Soft Designs/C++/Complex/Complex.PrjFpg | 0 .../C++/Complex/Complex.PrjFpgStructure | 0 .../Soft Designs/C++/Complex/Complex.SchDoc | Bin .../Soft Designs/C++/Complex/Complex_System.Harness | 0 .../Soft Designs/C++/Complex/Complex_System.OpenBus | 0 .../C++/Complex/Embedded/Complex.PrjEmb | 0 .../C++/Complex/Embedded/complex.SwPlatform | 0 .../Soft Designs/C++/Complex/Embedded/complex.cpp | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../C++/Hello World/Embedded/Hello World.PrjEmb | 0 .../C++/Hello World/Embedded/Hello_World.SwPlatform | 0 .../Soft Designs/C++/Hello World/Embedded/hello.cpp | 0 .../C++/Hello World/Hello_World.Constraint | 0 .../Soft Designs/C++/Hello World/Hello_World.PrjFpg | 0 .../C++/Hello World/Hello_World.PrjFpgStructure | 0 .../Soft Designs/C++/Hello World/Hello_World.SchDoc | Bin .../C++/Hello World/Hello_World_System.Harness | 0 .../C++/Hello World/Hello_World_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../C++/NB3000 C++ Complex/Clock.Constraint | 0 .../Embedded/NB3000_Complex.PrjEmb | 0 .../Embedded/NB3000_Complex.SwPlatform | 0 .../C++/NB3000 C++ Complex/Embedded/main.cpp | 0 .../C++/NB3000 C++ Complex/NB3000_Complex.Harness | 0 .../C++/NB3000 C++ Complex/NB3000_Complex.PrjFpg | 0 .../NB3000_Complex.PrjFpgStructure | 0 .../C++/NB3000 C++ Complex/NB3000_Complex.SchDoc | Bin .../NB3000_Complex_System.Harness | 0 .../NB3000_Complex_System.OpenBus | 0 .../C++/NB3000 C++ Tetris/Embedded/Bitmaps/down.bmp | Bin .../C++/NB3000 C++ Tetris/Embedded/Bitmaps/left.bmp | Bin .../NB3000 C++ Tetris/Embedded/Bitmaps/pause.bmp | Bin .../NB3000 C++ Tetris/Embedded/Bitmaps/right.bmp | Bin .../NB3000 C++ Tetris/Embedded/Bitmaps/rotate.bmp | Bin .../C++/NB3000 C++ Tetris/Embedded/Tetris.PrjEmb | 0 .../NB3000 C++ Tetris/Embedded/Tetris.SwPlatform | 0 .../C++/NB3000 C++ Tetris/Embedded/board.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/board.h | 0 .../C++/NB3000 C++ Tetris/Embedded/display_vga.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/display_vga.h | 0 .../C++/NB3000 C++ Tetris/Embedded/input.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/input.h | 0 .../C++/NB3000 C++ Tetris/Embedded/logger.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/logger.h | 0 .../C++/NB3000 C++ Tetris/Embedded/main.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/model.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/model.h | 0 .../C++/NB3000 C++ Tetris/Embedded/pieces.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/pieces.h | 0 .../C++/NB3000 C++ Tetris/Embedded/tetris.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/tetris.h | 0 .../C++/NB3000 C++ Tetris/Embedded/tetris_conf.h | 0 .../C++/NB3000 C++ Tetris/Embedded/threads.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/threads.h | 0 .../C++/NB3000 C++ Tetris/Embedded/update_info.cpp | 0 .../C++/NB3000 C++ Tetris/Embedded/update_info.h | 0 .../C++/NB3000 C++ Tetris/Tetris.Constraint | 0 .../C++/NB3000 C++ Tetris/Tetris.Harness | 0 .../C++/NB3000 C++ Tetris/Tetris.PrjFpg | 0 .../C++/NB3000 C++ Tetris/Tetris.PrjFpgStructure | 0 .../C++/NB3000 C++ Tetris/Tetris.SchDoc | Bin .../C++/NB3000 C++ Tetris/Tetris_System.Harness | 0 .../C++/NB3000 C++ Tetris/Tetris_System.OpenBus | 0 .../Embedded/NB3000_Hello_World.PrjEmb | 0 .../Embedded/NB3000_Hello_World.SwPlatform | 0 .../Embedded/NB3000_Hello_World.cpp | 0 .../NB3000_Hello_World.Constraint | 0 .../NB3000 Hello World/NB3000_Hello_World.Harness | 0 .../NB3000 Hello World/NB3000_Hello_World.PrjFpg | 0 .../NB3000_Hello_World.PrjFpgStructure | 0 .../NB3000 Hello World/NB3000_Hello_World.SchDoc | Bin .../NB3000_Hello_World_System.Harness | 0 .../NB3000_Hello_World_System.OpenBus | 0 .../Soft Designs/Communication/CAN/CAN.Constraint | 0 .../Soft Designs/Communication/CAN/CAN.Harness | 0 .../Soft Designs/Communication/CAN/CAN.PrjFpg | 0 .../Communication/CAN/CAN.PrjFpgStructure | 0 .../Soft Designs/Communication/CAN/CAN.SchDoc | Bin .../Communication/CAN/CAN_System.Harness | 0 .../Communication/CAN/CAN_System.OpenBus | 0 .../Communication/CAN/Embedded/CAN_Embedded.PrjEmb | 0 .../CAN/Embedded/CAN_Embedded.SwPlatform | 0 .../Soft Designs/Communication/CAN/Embedded/main.c | 0 .../CAN/NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB41_01_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../CAN/NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Communication/CANopen/CANopen.Harness | 0 .../Communication/CANopen/CANopen.PrjFpg | 0 .../Communication/CANopen/CANopen.PrjFpgStructure | 0 .../Communication/CANopen/CANopen.SchDoc | Bin .../Communication/CANopen/CANopen_System.Harness | 0 .../Communication/CANopen/CANopen_System.OpenBus | 0 .../CANopen/Embedded Client/CANopen_Client.PrjEmb | 0 .../Embedded Client/CANopen_Client.PrjEmb.cri | Bin .../Embedded Client/CANopen_Client.SwPlatform | 0 .../Communication/CANopen/Embedded Client/CO_OD.c | 0 .../Communication/CANopen/Embedded Client/CO_OD.h | 0 .../Communication/CANopen/Embedded Client/USER.c | 0 .../Communication/CANopen/Embedded Client/devices.h | 0 .../CANopen/Embedded Client/generic_devices.h | 0 .../Communication/CANopen/Embedded Client/main.c | 0 .../CANopen/Embedded Server/CANopen_Server.PrjEmb | 0 .../Embedded Server/CANopen_Server.PrjEmb.cri | Bin .../Embedded Server/CANopen_Server.SwPlatform | 0 .../Communication/CANopen/Embedded Server/CO_OD.c | 0 .../Communication/CANopen/Embedded Server/CO_OD.h | 0 .../Communication/CANopen/Embedded Server/USER.c | 0 .../Communication/CANopen/Embedded Server/devices.h | 0 .../CANopen/Embedded Server/generic_devices.h | 0 .../Communication/CANopen/Embedded Server/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../Communication/CANopen/timing.Constraint | 0 .../Communication/I2C/Embedded/I2C.PrjEmb | 0 .../Communication/I2C/Embedded/I2C.SwPlatform | 0 .../Soft Designs/Communication/I2C/Embedded/main.c | 0 .../Soft Designs/Communication/I2C/I2C.Constraint | 0 .../Soft Designs/Communication/I2C/I2C.Harness | 0 .../Soft Designs/Communication/I2C/I2C.PrjFpg | 0 .../Communication/I2C/I2C.PrjFpgStructure | 0 .../Soft Designs/Communication/I2C/I2C.SchDoc | Bin .../Communication/I2C/I2C_System.Harness | 0 .../Communication/I2C/I2C_System.OpenBus | 0 .../I2C/NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../I2C/NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Infrared/Embedded/IrRC_Transceiver.PrjEmb | 0 .../Infrared/Embedded/IrRC_Transceiver.SwPlatform | 0 .../Communication/Infrared/Embedded/main.c | 0 .../Infrared/IrRC_Transceiver.Constraint | 0 .../Communication/Infrared/IrRC_Transceiver.Harness | 0 .../Communication/Infrared/IrRC_Transceiver.PrjFpg | 0 .../Infrared/IrRC_Transceiver.PrjFpgStructure | 0 .../Communication/Infrared/IrRC_Transceiver.SchDoc | Bin .../Infrared/IrRC_Transceiver_System.Harness | 0 .../Infrared/IrRC_Transceiver_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../NB3000 IR38KRX/Embedded/Ir_Receiver.PrjEmb | 0 .../NB3000 IR38KRX/Embedded/Ir_Receiver.SwPlatform | 0 .../Communication/NB3000 IR38KRX/Embedded/main.c | 0 .../NB3000 IR38KRX/Ir_Receiver.Constraint | 0 .../Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpg | 0 .../NB3000 IR38KRX/Ir_Receiver.PrjFpgStructure | 0 .../Communication/NB3000 IR38KRX/Ir_Receiver.SchDoc | Bin .../NB3000 IR38KRX/Ir_Receiver_System.OpenBus | 0 .../NB3000 OneWire/Embedded/OneWire.PrjEmb | 0 .../NB3000 OneWire/Embedded/OneWire.SwPlatform | 0 .../Communication/NB3000 OneWire/Embedded/main.c | 0 .../NB3000XN_04_PB30_01_BoardMapping.Constraint | 0 .../NB3000 OneWire/NB3000_OneWire.Constraint | 0 .../NB3000 OneWire/NB3000_OneWire.Harness | 0 .../NB3000 OneWire/NB3000_OneWire.PrjFpg | 0 .../NB3000 OneWire/NB3000_OneWire.PrjFpgStructure | 0 .../NB3000 OneWire/NB3000_OneWire.SchDoc | Bin .../NB3000 OneWire/OneWire_System.Harness | 0 .../NB3000 OneWire/OneWire_System.OpenBus | 0 .../Embedded_1/NB3000_Uart_Serial_Port.PrjEmb | 0 .../Embedded_1/NB3000_Uart_Serial_Port.SwPlatform | 0 .../Embedded_1/NB3000_Uart_Serial_Port.c | 0 .../NB3000 UART/Embedded_2/NB3000_Uart_Echo.PrjEmb | 0 .../Embedded_2/NB3000_Uart_Echo.SwPlatform | 0 .../NB3000 UART/Embedded_2/NB3000_Uart_Echo.c | 0 .../NB3000 UART/NB3000_Uart.Constraint | 0 .../Communication/NB3000 UART/NB3000_Uart.PrjFpg | 0 .../NB3000 UART/NB3000_Uart.PrjFpgStructure | 0 .../NB3000 UART/NB3000_Uart_Serial_Port.Harness | 0 .../NB3000 UART/NB3000_Uart_Serial_Port.SchDoc | Bin .../NB3000_Uart_Serial_Port_System.Harness | 0 .../NB3000_Uart_Serial_Port_System.OpenBus | 0 .../Communication/OneWire/Embedded/OneWire.PrjEmb | 0 .../OneWire/Embedded/OneWire.SwPlatform | 0 .../Communication/OneWire/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Communication/OneWire/OneWire.Constraint | 0 .../Communication/OneWire/OneWire.Harness | 0 .../Communication/OneWire/OneWire.PrjFpg | 0 .../Communication/OneWire/OneWire.PrjFpgStructure | 0 .../Communication/OneWire/OneWire.SchDoc | Bin .../Communication/OneWire/OneWire_System.Harness | 0 .../Communication/OneWire/OneWire_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../SPI Arbiter/SPI_Arbiter.Constraint | 0 .../Communication/SPI Arbiter/SPI_Arbiter.Harness | 0 .../Communication/SPI Arbiter/SPI_Arbiter.PrjFpg | 0 .../SPI Arbiter/SPI_Arbiter.PrjFpgStructure | 0 .../Communication/SPI Arbiter/SPI_Arbiter.schdoc | Bin .../SPI Arbiter/SPI_Arbiter_System.Harness | 0 .../SPI Arbiter/SPI_Arbiter_System.OpenBus | 0 .../SPI Arbiter/embedded/SPI_Arbiter.PrjEmb | 0 .../SPI Arbiter/embedded/SPI_Arbiter.SwPlatform | 0 .../Communication/SPI Arbiter/embedded/main.c | 0 .../Communication/SPI/Embedded/SPI.PrjEmb | 0 .../Communication/SPI/Embedded/SPI.SwPlatform | 0 .../Soft Designs/Communication/SPI/Embedded/main.c | 0 .../SPI/NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../SPI/NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Communication/SPI/SPI.Constraint | 0 .../Soft Designs/Communication/SPI/SPI.Harness | 0 .../Soft Designs/Communication/SPI/SPI.PrjFpg | 0 .../Communication/SPI/SPI.PrjFpgStructure | 0 .../Soft Designs/Communication/SPI/SPI.SchDoc | Bin .../Communication/SPI/SPI_System.Harness | 0 .../Communication/SPI/SPI_System.OpenBus | 0 .../Embedded/UART_Error_Handling.PrjEmb | 0 .../Embedded/UART_Error_Handling.SwPlatform | 0 .../UART ComError Handling/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../UART_Error_Handling.Constraint | 0 .../UART_Error_Handling.PrjFpg | 0 .../UART_Error_Handling.PrjFpgStructure | 0 .../UART_Error_Handling.SchDoc | Bin .../UART_Error_Handling_System.OpenBus | 0 .../UART ComError Handling/shiftreg.Vhd | 0 .../Communication/UART/Embedded_1/UART_main.PrjEmb | 0 .../UART/Embedded_1/UART_main.SwPlatform | 0 .../Communication/UART/Embedded_1/main.c | 0 .../Communication/UART/Embedded_2/UART_echo.PrjEmb | 0 .../UART/Embedded_2/UART_echo.SwPlatform | 0 .../Communication/UART/Embedded_2/echo.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Communication/UART/UART_Serial_Port.Constraint | 0 .../Communication/UART/UART_Serial_Port.Harness | 0 .../Communication/UART/UART_Serial_Port.PrjFpg | 0 .../UART/UART_Serial_Port.PrjFpgStructure | 0 .../Communication/UART/UART_Serial_Port.SchDoc | Bin .../UART/UART_Serial_Port_System.Harness | 0 .../UART/UART_Serial_Port_System.OpenBus | 0 .../Display/Graphics/Embedded/Graphics.PrjEmb | 0 .../Display/Graphics/Embedded/Graphics.SwPlatform | 0 .../Soft Designs/Display/Graphics/Embedded/main.c | 0 .../Display/Graphics/Graphics.Constraint | 0 .../Soft Designs/Display/Graphics/Graphics.Harness | 0 .../Soft Designs/Display/Graphics/Graphics.PrjFpg | 0 .../Display/Graphics/Graphics.PrjFpgStructure | 0 .../Soft Designs/Display/Graphics/Graphics.SchDoc | Bin .../Display/Graphics/Graphics_System.Harness | 0 .../Display/Graphics/Graphics_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../JPEG Decoder/Embedded/JPEG_Decoder.PrjEmb | 0 .../JPEG Decoder/Embedded/JPEG_Decoder.SwPlatform | 0 .../Display/JPEG Decoder/Embedded/balloon.jpg | Bin .../Display/JPEG Decoder/Embedded/main.c | 0 .../Display/JPEG Decoder/JPEG_Decoder.Constraint | 0 .../Display/JPEG Decoder/JPEG_Decoder.Harness | 0 .../Display/JPEG Decoder/JPEG_Decoder.PrjFpg | 0 .../JPEG Decoder/JPEG_Decoder.PrjFpgStructure | 0 .../Display/JPEG Decoder/JPEG_Decoder.SchDoc | Bin .../JPEG Decoder/JPEG_Decoder_System.Harness | 0 .../JPEG Decoder/JPEG_Decoder_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Display/LCD/Embedded/LCD.PrjEmb | 0 .../Display/LCD/Embedded/LCD.SwPlatform | 0 .../Soft Designs/Display/LCD/Embedded/chars.h | 0 .../Soft Designs/Display/LCD/Embedded/main.c | 0 .../Soft Designs/Display/LCD/LCD.Constraint | 0 .../Soft Designs/Display/LCD/LCD.Harness | 0 .../Soft Designs/Display/LCD/LCD.PrjFpg | 0 .../Soft Designs/Display/LCD/LCD.PrjFpgStructure | 0 .../Soft Designs/Display/LCD/LCD.SchDoc | Bin .../Soft Designs/Display/LCD/LCD_System.Harness | 0 .../Soft Designs/Display/LCD/LCD_System.OpenBus | 0 .../LCD/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../Embedded/MAX6966_LedDriver.PrjEmb | 0 .../Embedded/MAX6966_LedDriver.SwPlatform | 0 .../Display/MAX6966 LedDriver/Embedded/main.c | 0 .../MAX6966 LedDriver/MAX6966_LedDriver.Constraint | 0 .../MAX6966 LedDriver/MAX6966_LedDriver.Harness | 0 .../MAX6966_LedDriver.OpenBusStructure | 0 .../MAX6966 LedDriver/MAX6966_LedDriver.PrjFpg | 0 .../MAX6966_LedDriver.PrjFpgStructure | 0 .../MAX6966 LedDriver/MAX6966_LedDriver.SchDoc | Bin .../MAX6966_LedDriver_System.Harness | 0 .../MAX6966_LedDriver_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Display/NB2 LedDriver/Embedded/NB2LedDriver.c | 0 .../Display/NB2 LedDriver/Embedded/NB2LedDriver.h | 0 .../NB2 LedDriver/Embedded/NB2_LedDriver.PrjEmb | 0 .../NB2 LedDriver/Embedded/NB2_LedDriver.SwPlatform | 0 .../Display/NB2 LedDriver/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Display/NB2 LedDriver/NB2_LedDriver.Constraint | 0 .../Display/NB2 LedDriver/NB2_LedDriver.Harness | 0 .../NB2 LedDriver/NB2_LedDriver.OpenBusStructure | 0 .../Display/NB2 LedDriver/NB2_LedDriver.PrjFpg | 0 .../NB2 LedDriver/NB2_LedDriver.PrjFpgStructure | 0 .../Display/NB2 LedDriver/NB2_LedDriver.SchDoc | Bin .../NB2 LedDriver/NB2_LedDriver_System.Harness | 0 .../NB2 LedDriver/NB2_LedDriver_System.OpenBus | 0 .../Embedded/NB3000_Bouncing_Balls.PrjEmb | 0 .../Embedded/NB3000_Bouncing_Balls.SwPlatform | 0 .../Display/NB3000 Bouncing Balls/Embedded/main.c | 0 .../NB3000_Bouncing_Balls.Constraint | 0 .../NB3000_Bouncing_Balls.Harness | 0 .../NB3000_Bouncing_Balls.PrjFpg | 0 .../NB3000_Bouncing_Balls.PrjFpgStructure | 0 .../NB3000_Bouncing_Balls.SchDoc | Bin .../NB3000_Bouncing_Balls_System.Harness | 0 .../NB3000_Bouncing_Balls_System.OpenBus | 0 .../NB3000 Graphics/Embedded/NB3000_Graphics.PrjEmb | 0 .../Embedded/NB3000_Graphics.SwPlatform | 0 .../Display/NB3000 Graphics/Embedded/main.c | 0 .../NB3000 Graphics/NB3000_Graphics.Constraint | 0 .../Display/NB3000 Graphics/NB3000_Graphics.Harness | 0 .../Display/NB3000 Graphics/NB3000_Graphics.PrjFpg | 0 .../NB3000 Graphics/NB3000_Graphics.PrjFpgStructure | 0 .../Display/NB3000 Graphics/NB3000_Graphics.SchDoc | Bin .../NB3000 Graphics/NB3000_Graphics_System.Harness | 0 .../NB3000 Graphics/NB3000_Graphics_System.OpenBus | 0 .../NB3000 Text Display/Embedded/BPreplay8.c | 0 .../NB3000 Text Display/Embedded/BPreplay8.h | 0 .../Embedded/Text_Display.PrjEmb | 0 .../Embedded/Text_Display.SwPlatform | 0 .../Display/NB3000 Text Display/Embedded/main.c | 0 .../NB3000 Text Display/Text_Display.Constraint | 0 .../NB3000 Text Display/Text_Display.Harness | 0 .../Text_Display.OpenBusStructure | 0 .../Display/NB3000 Text Display/Text_Display.PrjFpg | 0 .../Text_Display.PrjFpgStructure | 0 .../Display/NB3000 Text Display/Text_Display.SchDoc | Bin .../NB3000 Text Display/Text_Display_System.Harness | 0 .../NB3000 Text Display/Text_Display_System.OpenBus | 0 .../NB3000 USB Video/Embedded/USB_Video.PrjEmb | 0 .../NB3000 USB Video/Embedded/USB_Video.SwPlatform | 0 .../Display/NB3000 USB Video/Embedded/main.c | 0 .../Display/NB3000 USB Video/USB_Video.Constraint | 0 .../Display/NB3000 USB Video/USB_Video.Harness | 0 .../Display/NB3000 USB Video/USB_Video.PrjFpg | 0 .../NB3000 USB Video/USB_Video.PrjFpgStructure | 0 .../Display/NB3000 USB Video/USB_Video.SchDoc | Bin .../NB3000 USB Video/USB_Video_System.Harness | 0 .../NB3000 USB Video/USB_Video_System.OpenBus | 0 .../Display/Text Display/Embedded/BPreplay8.c | 0 .../Display/Text Display/Embedded/BPreplay8.h | 0 .../Text Display/Embedded/Text_Display.PrjEmb | 0 .../Text Display/Embedded/Text_Display.SwPlatform | 0 .../Display/Text Display/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Display/Text Display/Text_Display.Constraint | 0 .../Display/Text Display/Text_Display.Harness | 0 .../Text Display/Text_Display.OpenBusStructure | 0 .../Display/Text Display/Text_Display.PrjFpg | 0 .../Text Display/Text_Display.PrjFpgStructure | 0 .../Display/Text Display/Text_Display.SchDoc | Bin .../Text Display/Text_Display_System.Harness | 0 .../Text Display/Text_Display_System.OpenBus | 0 .../Bus Connections/Bus_Connections.PRJFPG | 0 .../Bus Connections/Bus_Connections.PRJFPGStructure | 0 .../Bus Connections/Bus_Connections.SCHDOC | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Bus Constants/Bus_Constants.Constraint | 0 .../Bus Constants/Bus_Constants.PrjFpg | 0 .../Bus Constants/Bus_Constants.PrjFpgStructure | 0 .../Bus Constants/Bus_Constants.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Hex Multiplier/Hex_Multiplier.PrjFpg | 0 .../Hex Multiplier/Hex_Multiplier.PrjFpgStructure | 0 .../Hex Multiplier/Hex_Multiplier.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../LED Chaser - Hardware/BrightnessPalette.VHD | 0 .../LED Chaser - Hardware/DRIVERS.SCHDOC | Bin .../LED_Chaser_Hardware.Constraint | 0 .../LED_Chaser_Hardware.PRJFPG | 0 .../LED_Chaser_Hardware.PRJFPGStructure | 0 .../LED_Chaser_Hardware.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../FPGA Hardware/LED Chaser - Hardware/PWM.SCHDOC | Bin .../LED Chaser - Hardware/SHIFTER.SCHDOC | Bin .../LED_Chaser_IO_Module.Constraint | 0 .../LED_Chaser_IO_Module.PrjFpg | 0 .../LED_Chaser_IO_Module.PrjFpgStructure | 0 .../LED_Chaser_IO_Module.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Embedded/Instrument_Service.PrjEmb | 0 .../Embedded/Instrument_Service.SwPlatform | 0 .../Instruments/Instrument Service/Embedded/main.c | 0 .../Instrument Service/ExampleInstrumentIcon.bmp | Bin .../Instrument_Service.Constraint | 0 .../Instrument Service/Instrument_Service.Harness | 0 .../Instrument Service/Instrument_Service.PrjFpg | 0 .../Instrument_Service.PrjFpgStructure | 0 .../Instrument Service/Instrument_Service.SchDoc | Bin .../Instrument_Service_System.Harness | 0 .../Instrument_Service_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Logic Analyser/Logic_Analyzer.Constraint | 0 .../Logic Analyser/Logic_Analyzer.PrjFpg | 0 .../Logic Analyser/Logic_Analyzer.PrjFpgStructure | 0 .../Logic Analyser/Logic_Analyzer.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Multiple_Custom_Instruments.Constraint | 0 .../Multiple_Custom_Instruments.PrjFpg | 0 .../Multiple_Custom_Instruments.PrjFpgStructure | 0 .../Multiple_Custom_Instruments.SchDoc | Bin .../Multiple_Custom_Instruments_Icon.bmp | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Scripted Instruments/NBI/NBIMain.dfm | 0 .../Scripted Instruments/NBI/NBIMain.pas | 0 .../Scripted Instruments/NBI/NBIScript.PrjScr | 0 .../Scripted Instruments/SI_Main.Harness | 0 .../Instruments/Scripted Instruments/SI_Main.SchDoc | Bin .../Scripted Instruments/SI_System.Harness | 0 .../Scripted Instruments/SI_System.OpenBus | 0 .../ScriptedInstruments.Constraint | 0 .../Scripted Instruments/ScriptedInstruments.PrjFpg | 0 .../ScriptedInstruments.PrjFpgStructure | 0 .../Kernel/Interrupts/Interrupts.Constraint | 0 .../Kernel/Interrupts/Interrupts.Harness | 0 .../Kernel/Interrupts/Interrupts.PrjFpg | 0 .../Kernel/Interrupts/Interrupts.PrjFpgStructure | 0 .../Kernel/Interrupts/Interrupts.SchDoc | Bin .../Kernel/Interrupts/Interrupts_System.Harness | 0 .../Kernel/Interrupts/Interrupts_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Kernel/Interrupts/embedded/Interrupts.PrjEmb | 0 .../Interrupts/embedded/Interrupts.SwPlatform | 0 .../Soft Designs/Kernel/Interrupts/embedded/main.c | 0 .../Message Queues/Embedded/Message_Queues.PrjEmb | 0 .../Embedded/Message_Queues.SwPlatform | 0 .../Kernel/Message Queues/Embedded/main.c | 0 .../Kernel/Message Queues/Message_Queues.Constraint | 0 .../Kernel/Message Queues/Message_Queues.Harness | 0 .../Kernel/Message Queues/Message_Queues.PrjFpg | 0 .../Message Queues/Message_Queues.PrjFpgStructure | 0 .../Kernel/Message Queues/Message_Queues.SchDoc | Bin .../Message Queues/Message_Queues_System.Harness | 0 .../Message Queues/Message_Queues_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Semaphores/NB3000 Philosophers/Clock.Constraint | 0 .../Embedded/Graphics/plate_empty.bmp | Bin .../Embedded/Graphics/plate_filled.bmp | Bin .../NB3000 The Dining Philosophers Problem.PrjEmb | 0 .../The Dining Philosophers Problem.SwPlatform | 0 .../Embedded/The Dining Philosophers Problem.c | 0 .../Embedded/The Dining Philosophers Problem.h | 0 .../NB3000 Philosophers/Embedded/Visualize.h | 0 .../NB3000 Philosophers/Embedded/visualize.c | 0 .../NB3000 The Dining Philosophers Problem.Harness | 0 .../NB3000 The Dining Philosophers Problem.SchDoc | Bin ...0 The Dining Philosophers Problem_System.Harness | 0 ...0 The Dining Philosophers Problem_System.OpenBus | 0 .../NB3000_The_Dining_Philosophers_Problem.PrjFpg | 0 ..._The_Dining_Philosophers_Problem.PrjFpgStructure | 0 .../Kernel/Semaphores/Philosophers/Clock.Constraint | 0 .../Philosophers/Embedded/Graphics/plate_empty.bmp | Bin .../Philosophers/Embedded/Graphics/plate_filled.bmp | Bin .../Embedded/The Dining Philosophers Problem.PrjEmb | 0 .../The Dining Philosophers Problem.SwPlatform | 0 .../Embedded/The Dining Philosophers Problem.c | 0 .../Embedded/The Dining Philosophers Problem.h | 0 .../Semaphores/Philosophers/Embedded/Visualize.c | 0 .../Semaphores/Philosophers/Embedded/Visualize.h | 0 .../NB2DSK01_08_DB30_04_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_04_BoardMapping.Constraint | 0 .../The Dining Philosophers Problem.Harness | 0 .../The Dining Philosophers Problem.SchDoc | Bin .../The Dining Philosophers Problem_System.Harness | 0 .../The Dining Philosophers Problem_System.OpenBus | 0 .../The_Dining_Philosophers_Problem.PrjFpg | 0 .../The_Dining_Philosophers_Problem.PrjFpgStructure | 0 .../Interthread/Embedded/Interthread_Signals.PrjEmb | 0 .../Embedded/Interthread_Signals.SwPlatform | 0 .../Kernel/Signalling/Interthread/Embedded/main.c | 0 .../Interthread/Interthread_Signals.Constraint | 0 .../Interthread/Interthread_Signals.Harness | 0 .../Interthread/Interthread_Signals.PrjFpg | 0 .../Interthread/Interthread_Signals.PrjFpgStructure | 0 .../Interthread/Interthread_Signals.SchDoc | Bin .../Interthread/Interthread_Signals_System.Harness | 0 .../Interthread/Interthread_Signals_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Signalling/Timer/Embedded/Timer_Signals.PrjEmb | 0 .../Timer/Embedded/Timer_Signals.SwPlatform | 0 .../Kernel/Signalling/Timer/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Signalling/Timer/Timer_Signals.Constraint | 0 .../Kernel/Signalling/Timer/Timer_Signals.Harness | 0 .../Kernel/Signalling/Timer/Timer_Signals.PrjFpg | 0 .../Signalling/Timer/Timer_Signals.PrjFpgStructure | 0 .../Kernel/Signalling/Timer/Timer_Signals.SchDoc | Bin .../Signalling/Timer/Timer_Signals_System.Harness | 0 .../Signalling/Timer/Timer_Signals_System.OpenBus | 0 .../Embedded/Thread_Cancellation.PrjEmb | 0 .../Embedded/Thread_Cancellation.SwPlatform | 0 .../Kernel/Threading/Cancellation/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Cancellation/Thread_Cancellation.Constraint | 0 .../Cancellation/Thread_Cancellation.Harness | 0 .../Cancellation/Thread_Cancellation.PrjFpg | 0 .../Thread_Cancellation.PrjFpgStructure | 0 .../Cancellation/Thread_Cancellation.SchDoc | Bin .../Cancellation/Thread_Cancellation_System.Harness | 0 .../Cancellation/Thread_Cancellation_System.OpenBus | 0 .../Interrupts/Embedded/Thread_Interrupts.PrjEmb | 0 .../Embedded/Thread_Interrupts.SwPlatform | 0 .../Kernel/Threading/Interrupts/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Interrupts/Thread_Interrupts.Constraint | 0 .../Threading/Interrupts/Thread_Interrupts.Harness | 0 .../Threading/Interrupts/Thread_Interrupts.PrjFpg | 0 .../Interrupts/Thread_Interrupts.PrjFpgStructure | 0 .../Threading/Interrupts/Thread_Interrupts.SchDoc | Bin .../Interrupts/Thread_Interrupts_System.Harness | 0 .../Interrupts/Thread_Interrupts_System.OpenBus | 0 .../Priority/Embedded/Thread_Priority.PrjEmb | 0 .../Priority/Embedded/Thread_Priority.SwPlatform | 0 .../Kernel/Threading/Priority/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Threading/Priority/Thread_Priority.Constraint | 0 .../Threading/Priority/Thread_Priority.Harness | 0 .../Threading/Priority/Thread_Priority.PrjFpg | 0 .../Priority/Thread_Priority.PrjFpgStructure | 0 .../Threading/Priority/Thread_Priority.SchDoc | Bin .../Priority/Thread_Priority_System.Harness | 0 .../Priority/Thread_Priority_System.OpenBus | 0 .../Threading/Threads/Embedded/Threads.PrjEmb | 0 .../Threading/Threads/Embedded/Threads.SwPlatform | 0 .../Kernel/Threading/Threads/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Kernel/Threading/Threads/Threads.Constraint | 0 .../Kernel/Threading/Threads/Threads.Harness | 0 .../Kernel/Threading/Threads/Threads.PrjFpg | 0 .../Threading/Threads/Threads.PrjFpgStructure | 0 .../Kernel/Threading/Threads/Threads.SchDoc | Bin .../Kernel/Threading/Threads/Threads_System.Harness | 0 .../Kernel/Threading/Threads/Threads_System.OpenBus | 0 .../Kernel/Timers/Embedded/Timers.PrjEmb | 0 .../Kernel/Timers/Embedded/Timers.SwPlatform | 0 .../Soft Designs/Kernel/Timers/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Kernel/Timers/Timers.Constraint | 0 .../Soft Designs/Kernel/Timers/Timers.Harness | 0 .../Soft Designs/Kernel/Timers/Timers.PrjFpg | 0 .../Kernel/Timers/Timers.PrjFpgStructure | 0 .../Soft Designs/Kernel/Timers/Timers.SchDoc | Bin .../Kernel/Timers/Timers_System.Harness | 0 .../Kernel/Timers/Timers_System.OpenBus | 0 .../Actel_ProASICPlus_APA075-FPQ208.Constraint | 0 .../Actel_ProASICPlus_APA1000-FPQ208.Constraint | 0 .../Actel_ProASICPlus_APA150-FPQ208.Constraint | 0 .../Actel_ProASICPlus_APA300-FPQ208.Constraint | 0 .../Actel_ProASICPlus_APA450-FPQ208.Constraint | 0 .../Actel_ProASICPlus_APA600-FPQ208.Constraint | 0 .../Actel_ProASICPlus_APA750-FPQ208.Constraint | 0 ...l_ProASICPlus_Evaluation_Board_Rev1_0.Constraint | 0 ...Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPG | 0 ...ASICPlus_Evaluation_Board_Rev1_0.PRJFPGStructure | 0 ...Actel_ProASICPlus_Evaluation_Board_Rev1_0.SchDoc | Bin .../Leds/Leds.PrjEmb | 0 .../Leds/Leds.c | 0 .../ARRIAGX/Altera_ArriaGX_PCIExpress.Constraint | 0 .../3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpg | 0 .../Altera_ArriaGX_PCIExpress.PrjFpgStructure | 0 .../3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.SchDoc | Bin .../Altera_ArriaGX_PCIExpress_System.OpenBus | 0 .../Legacy/3rd PB/ARRIAGX/Embedded/Embedded.PrjEmb | 0 .../ARRIAGX/Embedded/Embedded_Platform.SwPlatform | 0 .../Legacy/3rd PB/ARRIAGX/Embedded/main.c | 0 .../FPGA_Actel_Fusion_Eval_Board1_Rev1.Constraint | 0 .../FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpg | 0 ...GA_Actel_Fusion_Eval_Board1_Rev1.PrjFpgStructure | 0 .../FPGA_Actel_Fusion_Eval_Board1_Rev1.SchDoc | Bin .../FUSION_CLK.edn | 0 .../Actel_ProASIC3E_A3PE1500-FPQ208.Constraint | 0 .../Actel_ProASIC3E_A3PE3000-FPQ208.Constraint | 0 .../Actel_ProASIC3E_A3PE600-FPQ208.Constraint | 0 ...l_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint | 0 .../Actel_ProASIC3_A3P1000-FPQ208.Constraint | 0 .../Actel_ProASIC3_A3P125-FPQ208.Constraint | 0 .../Actel_ProASIC3_A3P250-FPQ208.Constraint | 0 .../Actel_ProASIC3_A3P400-FPQ208.Constraint | 0 .../Actel_ProASIC3_A3P600-FPQ208.Constraint | 0 ...Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpg | 0 ...ASIC3_3E_Evaluation_Board_1_Rev3.PrjFpgStructure | 0 ...Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.SchDoc | Bin .../Leds/Leds.PrjEmb | 0 .../Leds/Leds.c | 0 .../FPGA_51_Morse.PrjFpg | 0 .../FPGA_51_Morse.PrjFpgStructure | 0 .../FPGA_51_Morse.SchDoc | Bin .../Actel_ProASIC3_Dev_Board_TSK51/Mcu1.SchDoc | Bin .../Actel_ProASIC3_Dev_Board_TSK51/Mcu1/MCU1.PrjEmb | 0 .../Actel_ProASIC3_Dev_Board_TSK51/Mcu1/Mcu1.c | 0 .../Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.c | 0 .../Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.h | 0 .../ProASIC3_DevKit.Constraint | 0 ...l_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint | 0 .../Actel_ProASIC3_A3P1000-FPQ208.Constraint | 0 .../Embedded/Simple.PrjEmb | 0 .../Embedded/cstart.asm | 0 .../Embedded/hardware.h | 0 .../Embedded/main.c | 0 .../Simple.PrjFpg | 0 .../Simple.PrjFpgStructure | 0 .../Simple.SchDoc | Bin .../Counter/Counter.PrjEmb | 0 .../Counter/Counter.c | 0 .../FPGA_Burch_Spartan2_B5-X200.PrjFpg | 0 .../FPGA_Burch_Spartan2_B5-X200.PrjFpgStructure | 0 .../FPGA_Burch_Spartan2_B5-X200_Board.Constraint | 0 .../FPGA_Burch_SpartanII_B5-X200_Board.SCHDOC | Bin .../Burch Spartan2E B5-X300/Counter/Counter.PrjEmb | 0 .../Burch Spartan2E B5-X300/Counter/Counter.c | 0 .../FPGA_Burch_Spartan2E_B5-X300.PRJFPG | 0 .../FPGA_Burch_Spartan2E_B5-X300.PRJFPGStructure | 0 .../FPGA_Burch_Spartan2E_B5-X300_Board.Constraint | 0 .../FPGA_Burch_Spartan2E_B5-X300_Board.SchDoc | Bin .../3rd PB/CYCLONE20/DigitalIO/DigitalIO.PrjEmb | 0 .../Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.asm | 0 ..._165B_Altera_Cyclone20_Nios_Dev_Board.Constraint | 0 ...FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpg | 0 ..._Altera_Cyclone20_Nios_Dev_Board.PrjFpgStructure | 0 ...FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.SchDoc | Bin .../Altera_Cyclone_II_Nios_II_Dev_Board.Constraint | 0 .../Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpg | 0 ...era_Cyclone_II_Nios_II_Dev_Board.PrjFpgStructure | 0 .../Altera_Cyclone_II_Nios_II_Dev_Board.SchDoc | Bin .../3rd PB/CYCLONEII/Embedded/Embedded.PrjEmb | 0 .../Legacy/3rd PB/CYCLONEII/Embedded/hardware.h | 0 .../Legacy/3rd PB/CYCLONEII/Embedded/main.c | 0 .../FPGA_Altera_CycloneIII_Starter_Kit.Constraint | 0 .../FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpg | 0 ...A_Altera_Cyclone_III_Starter_Kit.PrjFpgStructure | 0 .../FPGA_Altera_Cyclone_III_Starter_Kit.SchDoc | Bin ...GA_Altera_Cyclone_III_Starter_Kit_System.OpenBus | 0 .../Legacy/3rd PB/CYCLONEIII/embedded/LED.PrjEmb | 0 .../CYCLONEIII/embedded/LED_Platform.SwPlatform | 0 .../Legacy/3rd PB/CYCLONEIII/embedded/main.c | 0 .../FPGA_Digilent_Spartan3_Board.Constraint | 0 .../FPGA_Digilent_Spartan3_Board.PrjFpg | 0 .../FPGA_Digilent_Spartan3_Board.PrjFpgStructure | 0 .../FPGA_Digilent_Spartan3_Board.SchDoc | Bin .../FPGA_Digilent_Spartan2E_Digilab_2FT.Constraint | 0 .../FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpg | 0 ...A_Digilent_Spartan2E_Digilab_2FT.PrjFpgStructure | 0 .../FPGA_Digilent_Spartan2E_Digilab_2FT.SchDoc | Bin .../FPGA_Digilant_Spartan2E_D2E.Constraint | 0 .../FPGA_Digilant_Spartan2E_D2E.PrjFpg | 0 .../FPGA_Digilant_Spartan2E_D2E.PrjFpgStructure | 0 .../FPGA_Digilant_Spartan2E_D2E_top.SchDoc | Bin .../FPGA_Digilent_XC9500_DigiLab_XC95.Constraint | 0 .../FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpg | 0 ...PGA_Digilent_XC9500_DigiLab_XC95.PrjFpgStructure | 0 .../FPGA_Digilent_XC9500_DigiLab_XC95.SchDoc | Bin .../3rd PB/Digilent XC9500 DigiLab XC95/Pwm.SchDoc | Bin .../FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpg | 0 ...Camino_DIGILAB_picoMAX_Dev_Board.PrjFpgStructure | 0 .../FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.SchDoc | Bin .../PicoMAX_Socket44.Constraint | 0 .../PicoMAX_Socket84.Constraint | 0 .../PicoMax_MAX3032LC44.Constraint | 0 .../PicoMax_MAX3064LC44.Constraint | 0 .../PicoMax_MAX7032AELC44.Constraint | 0 .../PicoMax_MAX7032BLC44.Constraint | 0 .../PicoMax_MAX7032SLC44.Constraint | 0 .../PicoMax_MAX7064AELC44.Constraint | 0 .../PicoMax_MAX7064BLC44.Constraint | 0 .../PicoMax_MAX7064SLC44.Constraint | 0 .../PicoMax_MAX7128AELC84.Constraint | 0 .../PicoMax_MAX7128SLC84.Constraint | 0 .../PicoMax_MAX7160SLC84.Constraint | 0 .../Embedded/Embedded.PrjEmb | 0 .../Embedded/main.c | 0 ...EC-ECP_Standard_Evaluation_Board_RevB.Constraint | 0 ...ice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpg | 0 ...P_Standard_Evaluation_Board_RevB.PrjFpgStructure | 0 ...ice_EC-ECP_Standard_Evaluation_Board_RevB.SchDoc | Bin .../Lattice_ECP_ECP20-F484.Constraint | 0 .../Lattice_EC_EC6-F484.Constraint | 0 .../Counter/Counter.PrjEmb | 0 .../Counter/main.asm | 0 .../Counter_165B.Constraint | 0 .../Counter_165B.PrjFpg | 0 .../Counter_165B.PrjFpgStructure | 0 .../Counter_165B.SchDoc | Bin .../Embedded/Embedded.PrjEmb | 0 .../Embedded/hardware.h | 0 .../Embedded/main.c | 0 ..._Lattice_XP_Standard_Evaluation_Board.Constraint | 0 ...FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpg | 0 ...ice_XP_Standard_Evaluation_Board.PrjFpgStructure | 0 ...FPGA_Lattice_XP_Standard_Evaluation_Board.SchDoc | Bin .../Hello_Led_LH75401.PrjEmb | 0 .../Hello_Led_LH75401.c | 0 .../Simple_UART_LH79520.PrjEmb | 0 .../Simple_UART_LH79520.c | 0 .../hardware.h | 0 .../Simple_UART_LH79524.PrjEmb | 0 .../Simple_UART_LH79524.c | 0 .../hardware.h | 0 .../Logic Zoom SDK - Simple UART.DsnWrk | 0 .../Logic Zoom SDK - Simple UART.ht | Bin .../FPGA_Memec_CPLD95XL_Demo_Board.Constraint | 0 .../FPGA_Memec_CPLD95XL_Demo_Board.PrjFpg | 0 .../FPGA_Memec_CPLD95XL_Demo_Board.PrjFpgStructure | 0 .../FPGA_Memec_CPLD95XL_Demo_Board.SchDoc | Bin ...PGA_Memec_CoolRunner_XPLA3_Demo_Board.Constraint | 0 .../FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpg | 0 ...emec_CoolRunner_XPLA3_Demo_Board.PrjFpgStructure | 0 .../FPGA_Memec_CoolRunner_XPLA3_Demo_Board.SchDoc | Bin .../LCD_XOR.SchDoc | Bin .../FPGA_Memec_SpartanII_DemoBoard_Rev3.Constraint | 0 .../FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpg | 0 ...A_Memec_SpartanII_DemoBoard_Rev3.PrjFpgStructure | 0 .../FPGA_Memec_SpartanII_DemoBoard_Rev3.SchDoc | Bin .../Memec Spartan II Demo Board Rev3/XOR_LCD.SchDoc | Bin .../Counter/Counter.C | 0 .../Counter/Counter.PrjEmb | 0 ...PGA_Memec_Spartan2E_System_Board_Rev1.Constraint | 0 .../FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpg | 0 ...emec_Spartan2E_System_Board_Rev1.PrjFpgStructure | 0 .../FPGA_Memec_Spartan2E_System_Board_Rev1.SchDoc | Bin .../Counter/Counter.PrjEmb | 0 .../Counter/Counter.c | 0 .../FPGA_Memec_Spartan3_SxLC_Rev1.Constraint | 0 .../FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpg | 0 .../FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpgStructure | 0 .../FPGA_Memec_Spartan3_SxLC_Rev1.SchDoc | Bin .../8X8PWM.SchDoc | Bin ...A_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.Constraint | 0 .../FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpg | 0 ...ec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpgStructure | 0 .../FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.SchDoc | Bin .../LedChaser/LedChaser.PrjEmb | 0 .../LedChaser/LedChaser.c | 0 .../Memec Virtex2 V2MB1000 Rev3 Dev Kit/PWM.SchDoc | Bin .../FPGA_Memec_Virtex2P4_Dev_Board.Constraint | 0 .../FPGA_Memec_Virtex2P4_Dev_Board.PrjFpg | 0 .../FPGA_Memec_Virtex2P4_Dev_Board.PrjFpgStructure | 0 .../FPGA_Memec_Virtex2P4_Dev_Board.SchDoc | Bin .../Message/Message.PrjEmb | 0 .../Memec Virtex2P4 dev board/Message/Message.asm | 0 ...FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.Constraint | 0 .../FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpg | 0 ...Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpgStructure | 0 .../FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.SchDoc | Bin .../Memec Virtex4 FX12 LC - TSK3000/Lcd/LCD.PrjEmb | 0 .../Lcd/LcdControl.c | 0 .../Lcd/LcdControl.h | 0 .../Lcd/MsgPatterns.c | 0 .../Lcd/MsgPatterns.h | 0 .../Memec Virtex4 FX12 LC - TSK3000/Lcd/hardware.h | 0 .../Memec Virtex4 FX12 LC - TSK3000/Lcd/main.c | 0 ...FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.Constraint | 0 .../FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpg | 0 ...Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpgStructure | 0 .../FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.SchDoc | Bin .../Memec Virtex4 LX25 LC - TSK3000/LCD/LCD.PrjEmb | 0 .../LCD/LcdControl.c | 0 .../LCD/LcdControl.h | 0 .../LCD/MsgPatterns.c | 0 .../LCD/MsgPatterns.h | 0 .../Memec Virtex4 LX25 LC - TSK3000/LCD/hardware.h | 0 .../Memec Virtex4 LX25 LC - TSK3000/LCD/main.c | 0 .../FPGA_Memec_XC9500XV_Demo_Board.Constraint | 0 .../FPGA_Memec_XC9500XV_Demo_Board.PrjFpg | 0 .../FPGA_Memec_XC9500XV_Demo_Board.PrjFpgStructure | 0 .../FPGA_Memec_XC9500XV_Demo_Board.SchDoc | Bin ...uHorizons_CoolRunnerII_Dev_Board_Rev2.Constraint | 0 ...GA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpg | 0 ...zons_CoolRunnerII_Dev_Board_Rev2.PrjFpgStructure | 0 ...GA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.SchDoc | Bin .../LCD_XOR.SchDoc | Bin .../FPGA_NuHorizons_Spartan3_Dev_Board.Constraint | 0 .../FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpg | 0 ...GA_NuHorizons_Spartan3_Dev_Board.PrjFpgStructure | 0 .../FPGA_NuHorizons_Spartan3_Dev_Board.SchDoc | Bin .../StopWatch/StopWatch.ASM | 0 .../StopWatch/StopWatch.PrjEmb | 0 .../Legacy/3rd PB/PARALLAX/EP1S10F672C6.Constraint | 0 .../3rd PB/PARALLAX/EP1S10F672C6ES.Constraint | 0 .../PARALLAX/FPGA_Stratix_672_SmartPack.Constraint | 0 .../PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpg | 0 .../FPGA_Stratix_672_SmartPack.PrjFpgStructure | 0 .../PARALLAX/FPGA_Stratix_672_SmartPack.SchDoc | Bin .../Legacy/3rd PB/PARALLAX/Joiners.SchDoc | Bin .../3rd PB/PARALLAX/TSK165_PWM/TSK165_PWM.PrjEmb | 0 .../3rd PB/PARALLAX/TSK165_PWM/tsk165_pwm.ASM | 0 .../3rd PB/STRATIX10/Digital IO/DigitalIO.PrjEmb | 0 .../3rd PB/STRATIX10/Digital IO/DigitalIO.asm | 0 .../FPGA_Altera_Stratix10_Nios_Dev_Board.Constraint | 0 .../FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpg | 0 ..._Altera_Stratix10_Nios_Dev_Board.PrjFpgStructure | 0 .../FPGA_Altera_Stratix10_Nios_Dev_Board.SchDoc | Bin .../Altera_Stratix_II_Nios_II_Dev_Board.Constraint | 0 .../Altera_Stratix_II_Nios_II_Dev_Board.PrjFpg | 0 ...era_Stratix_II_Nios_II_Dev_Board.PrjFpgStructure | 0 .../Altera_Stratix_II_Nios_II_Dev_Board.SchDoc | Bin .../Legacy/3rd PB/STRATIXII/EP2S60F672C5.Constraint | 0 .../3rd PB/STRATIXII/EP2S60F672C5ES.Constraint | 0 .../3rd PB/STRATIXII/Embedded/Embedded.PrjEmb | 0 .../Legacy/3rd PB/STRATIXII/Embedded/hardware.h | 0 .../Legacy/3rd PB/STRATIXII/Embedded/main.c | 0 .../Legacy/3rd PB/STRATIXIII/Embedded/Common.h | 0 .../Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb | 0 .../3rd PB/STRATIXIII/Embedded/LCD.PrjEmb.cri | Bin .../3rd PB/STRATIXIII/Embedded/LCD.SwPlatform | 0 .../Legacy/3rd PB/STRATIXIII/Embedded/chars.h | 0 .../Legacy/3rd PB/STRATIXIII/Embedded/devices.h | 0 .../3rd PB/STRATIXIII/Embedded/generic_devices.h | 0 .../Legacy/3rd PB/STRATIXIII/Embedded/main.c | 0 .../FPGA_Altera_Stratix_III_Dev_Board.Constraint | 0 .../FPGA_Altera_Stratix_III_Dev_Board.Harness | 0 .../FPGA_Altera_Stratix_III_Dev_Board.PrjFpg | 0 ...PGA_Altera_Stratix_III_Dev_Board.PrjFpgStructure | 0 .../FPGA_Altera_Stratix_III_Dev_Board.SchDoc | Bin ...FPGA_Altera_Stratix_III_Dev_Board_System.Harness | 0 ...FPGA_Altera_Stratix_III_Dev_Board_System.OpenBus | 0 .../FPGA_Xilinx_Spartan3_Starter_Kit.Constraint | 0 .../FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpg | 0 ...FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpgStructure | 0 .../FPGA_Xilinx_Spartan3_Starter_Kit.SchDoc | Bin .../Soft Designs/Legacy/8Bit uP/EX01/Counter.SchDoc | Bin .../Legacy/8Bit uP/EX01/Counter/Counter.PrjEmb | 0 .../Legacy/8Bit uP/EX01/Counter/Counter.asm | 0 .../Legacy/8Bit uP/EX01/FPGA_Z80_Counter.Constraint | 0 .../Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpg | 0 .../8Bit uP/EX01/FPGA_Z80_Counter.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX01/FPGA_Z80_Counter.SchDoc | Bin .../Soft Designs/Legacy/8Bit uP/EX01/INPORT2x8.vhd | 0 .../EX01/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX01/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX01/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Legacy/8Bit uP/EX01/OUTPORT2x8.vhd | 0 .../Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.PrjEmb | 0 .../Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.asm | 0 .../8Bit uP/EX02/FPGA_165B_DigitalIO.Constraint | 0 .../Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpg | 0 .../EX02/FPGA_165B_DigitalIO.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.SchDoc | Bin .../EX02/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX02/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX02/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Legacy/8Bit uP/EX03/CounterFlag.SchDoc | Bin .../8Bit uP/EX03/FrameBuffAddressCheck.SchDoc | Bin .../Legacy/8Bit uP/EX03/FrameBuffer.SchDoc | Bin .../Legacy/8Bit uP/EX03/HighSpeedDiffSig.Constraint | 0 .../Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpg | 0 .../8Bit uP/EX03/HighSpeedDiffSig.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX03/HighSpeedDiffSig.SchDoc | Bin .../Legacy/8Bit uP/EX03/HighSpeedDiffSig.Tcl | 0 .../EX03/HighSpeedEmbedded/HighSpeedEmbedded.PrjEmb | 0 .../EX03/HighSpeedEmbedded/highspeedembeddedmain.c | 0 .../Legacy/8Bit uP/EX03/MemReadController.SchDoc | Bin .../Legacy/8Bit uP/EX03/MemWriteController.SchDoc | Bin .../EX03/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX03/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX03/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Legacy/8Bit uP/EX03/ReceiveController.SchDoc | Bin .../Legacy/8Bit uP/EX03/SpartanIIE_IO.Constraint | 0 .../Legacy/8Bit uP/EX03/TagCheck.SchDoc | Bin .../Soft Designs/Legacy/8Bit uP/EX03/Tester.SchDoc | Bin .../Legacy/8Bit uP/EX03/TransmitController.SCHDOC | Bin .../8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.Constraint | 0 .../Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpg | 0 .../EX04/FPGA_51_I2C_ADC_DAC.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.SchDoc | Bin .../8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.PrjEmb | 0 .../Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.asm | 0 .../EX04/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX04/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX04/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Constraint | 0 .../Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpg | 0 .../EX05/FPGA_52_I2C_ADC_DAC.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.SchDoc | Bin .../Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Tcl | 0 .../8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.PrjEmb | 0 .../Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.asm | 0 .../EX05/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX05/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX05/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../8Bit uP/EX06/DigitalIO_01/DigitalIO.PrjEmb | 0 .../Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.asm | 0 .../8Bit uP/EX06/DigitalIO_02/DigitalIO.PrjEmb | 0 .../Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.asm | 0 .../8Bit uP/EX06/FPGA_165B_DigitalIO.Constraint | 0 .../Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpg | 0 .../EX06/FPGA_165B_DigitalIO.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.SchDoc | Bin .../EX06/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX06/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX06/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Legacy/8Bit uP/EX06/Scripts/TestMain.PAS | 0 .../Legacy/8Bit uP/EX06/Scripts/TestMain.dfm | 0 .../Legacy/8Bit uP/EX06/Scripts/ValueList.txt | 0 .../Legacy/8Bit uP/EX07/FPGA_51_Morse.Constraint | 0 .../Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpg | 0 .../8Bit uP/EX07/FPGA_51_Morse.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX07/FPGA_51_Morse.SchDoc | Bin .../Soft Designs/Legacy/8Bit uP/EX07/MCU1.SchDoc | Bin .../Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.C | 0 .../Legacy/8Bit uP/EX07/Mcu1/MCU1.PrjEmb | 0 .../Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.c | 0 .../Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.h | 0 .../EX07/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX07/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX07/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Legacy/8Bit uP/EX08/FPGA_52_Morse.Constraint | 0 .../Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpg | 0 .../8Bit uP/EX08/FPGA_52_Morse.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX08/FPGA_52_Morse.SchDoc | Bin .../Legacy/8Bit uP/EX08/FPGA_52_Morse.Tcl | 0 .../Soft Designs/Legacy/8Bit uP/EX08/MCU1.SchDoc | Bin .../Legacy/8Bit uP/EX08/Mcu1/MCU1.PrjEmb | 0 .../Soft Designs/Legacy/8Bit uP/EX08/Mcu1/Mcu1.c | 0 .../Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.c | 0 .../Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.h | 0 .../EX08/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX08/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX08/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Legacy/8Bit uP/EX08/myconstr.ucf | 0 .../165A_Repeat_DigitalIO.PrjEmb | 0 .../165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm | 0 .../EX09/FPGA_165A_Repeat_DigitalIO.Constraint | 0 .../8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpg | 0 .../EX09/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure | 0 .../8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.SchDoc | Bin .../EX09/FPGA_165A_Repeat_DigitalIO_Base.SchDoc | Bin .../EX09/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX09/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX09/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../165B_Repeat_DigitalIO.PrjEmb | 0 .../165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm | 0 .../EX10/FPGA_165B_Repeat_DigitalIO.Constraint | 0 .../8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpg | 0 .../EX10/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure | 0 .../8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.SchDoc | Bin .../EX10/FPGA_165B_Repeat_DigitalIO_Base.SchDoc | Bin .../EX10/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX10/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX10/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../8Bit uP/EX11/FPGA_165A_Watchdog.Constraint | 0 .../Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpg | 0 .../8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpgStructure | 0 .../Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.SchDoc | Bin .../EX11/NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../EX11/NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../EX11/NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Legacy/8Bit uP/EX11/Watchdog.Vhd | 0 .../Legacy/8Bit uP/EX11/Watchdog/Watchdog.PrjEmb | 0 .../Legacy/8Bit uP/EX11/Watchdog/Watchdog.asm | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/EV_TEST.c | 0 .../LiveDsn EVB/EX01/Embedded/EV_TESTER.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/LCD.C | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/LCD.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/LED7.C | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/LED7.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Strio.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Timer.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Tunes.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Uart.C | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Uart.h | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/VLCD.C | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/VLCD.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Xram.C | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/Xram.H | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/hware.h | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/ps2.c | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/ps2.h | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/strio.c | 0 .../Legacy/LiveDsn EVB/EX01/Embedded/timer.c | 0 .../LiveDsn EVB/EX01/EvalBoardTester.Constraint | 0 .../Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpg | 0 .../EX01/EvalBoardTester.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX01/Hardware/Audio.SchDoc | Bin .../LiveDsn EVB/EX01/Hardware/BusSplitter.VHD | 0 .../Legacy/LiveDsn EVB/EX01/Hardware/CPU51.SchDoc | Bin .../EX01/Hardware/ColourConverter.SchDoc | Bin .../LiveDsn EVB/EX01/Hardware/DeltaSigma8.SchDoc | Bin .../EX01/Hardware/EvalBoardTester.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/J3x8_1x19.VHD | 0 .../LiveDsn EVB/EX01/Hardware/NoteDividerTable.VHD | 0 .../Legacy/LiveDsn EVB/EX01/Hardware/PS2_INT.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/RS_232.SchDoc | Bin .../LiveDsn EVB/EX01/Hardware/SFR_PORTS.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/SineWave.VHD | 0 .../LiveDsn EVB/EX01/Hardware/TColourBar.SchDoc | Bin .../LiveDsn EVB/EX01/Hardware/TDesktop.SchDoc | Bin .../LiveDsn EVB/EX01/Hardware/TDisplay.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/TDither.VHD | 0 .../LiveDsn EVB/EX01/Hardware/TLCDDisplay.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/TRange.VHD | 0 .../EX01/Hardware/TSevenSegmentDisplay.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/TWindow.SchDoc | Bin .../LiveDsn EVB/EX01/Hardware/TWindow12.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/UIO_INT.SchDoc | Bin .../Legacy/LiveDsn EVB/EX01/Hardware/addTrans.Vhd | 0 .../Soft Designs/Legacy/LiveDsn EVB/EX01/font.hex | 0 .../Legacy/LiveDsn EVB/EX02/7SegSplitter.SchDoc | Bin .../LiveDsn EVB/EX02/FPGA_Alarm_Clock.Constraint | 0 .../Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpg | 0 .../EX02/FPGA_Alarm_Clock.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/Splitter.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TColour2to3.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TDesktop.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TDisplay.SchDoc | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX02/TRange.Vhd | 0 .../LiveDsn EVB/EX02/TSevenSegmentDisplay.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TWindow.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TimeCompare.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TimeCounter.SchDoc | Bin .../Legacy/LiveDsn EVB/EX02/TimeMux.SchDoc | Bin .../LiveDsn EVB/EX03/FPGA_LAX_Counter.Constraint | 0 .../Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpg | 0 .../EX03/FPGA_LAX_Counter.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.SchDoc | Bin .../Legacy/LiveDsn EVB/EX04/DIV2_8B.SCHDOC | Bin .../LiveDsn EVB/EX04/EvaluationCircuit.SCHDOC | Bin .../LiveDsn EVB/EX04/FPGA_Video_Windows.Constraint | 0 .../LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpg | 0 .../EX04/FPGA_Video_Windows.PrjFpgStructure | 0 .../LiveDsn EVB/EX04/FPGA_Video_Windows.SchDoc | Bin .../Legacy/LiveDsn EVB/EX04/TBarLedWindow.schDOC | Bin .../Legacy/LiveDsn EVB/EX04/TColour2to3.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TControl.schDOC | Bin .../Legacy/LiveDsn EVB/EX04/TControlWindow.schDOC | Bin .../Legacy/LiveDsn EVB/EX04/TDesktop.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TDisplay.SchDoc | Bin .../Legacy/LiveDsn EVB/EX04/TFillNoise.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TLayerManager.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TLogicWindow.schDOC | Bin .../Legacy/LiveDsn EVB/EX04/TMouse.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TMouseCursor.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TMouseEvent.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TNoiseWindow.schDOC | Bin .../Legacy/LiveDsn EVB/EX04/TPaletteWindow.schDOC | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX04/TRange.VHD | 0 .../Legacy/LiveDsn EVB/EX04/TSystemTimers.schDoc | Bin .../Legacy/LiveDsn EVB/EX04/TTraceWindow.schDOC | Bin .../Legacy/LiveDsn EVB/EX04/TWindow.schDoc | Bin .../Legacy/LiveDsn EVB/EX05/ConsoleController.VHD | 0 .../LiveDsn EVB/EX05/FPGA_51_Console.Constraint | 0 .../Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpg | 0 .../EX05/FPGA_51_Console.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX05/FPGA_51_Console.SchDoc | Bin .../Legacy/LiveDsn EVB/EX05/MCU1.SchDoc | Bin .../Legacy/LiveDsn EVB/EX05/Mcu1/MCU1.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX05/Mcu1/conio.c | 0 .../Legacy/LiveDsn EVB/EX05/Mcu1/conio.h | 0 .../Legacy/LiveDsn EVB/EX05/Mcu1/mcu1.c | 0 .../Legacy/LiveDsn EVB/EX05/TColour2to3.SchDoc | Bin .../Legacy/LiveDsn EVB/EX05/TConsoleWindow.SchDoc | Bin .../Legacy/LiveDsn EVB/EX05/TDesktop.SchDoc | Bin .../Legacy/LiveDsn EVB/EX05/TDisplay.SchDoc | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX05/TRange.VHD | 0 .../Legacy/LiveDsn EVB/EX05/TWindow.SchDoc | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX05/font.hex | 0 .../LiveDsn EVB/EX06/FPGA_51_Countdown.Constraint | 0 .../LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPG | 0 .../EX06/FPGA_51_Countdown.PRJFPGStructure | 0 .../LiveDsn EVB/EX06/Firmware/Countdown_main.C | 0 .../Legacy/LiveDsn EVB/EX06/Firmware/EB_Wave.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX06/Firmware/keyboard.c | 0 .../Legacy/LiveDsn EVB/EX06/Firmware/keyboard.h | 0 .../Legacy/LiveDsn EVB/EX06/Firmware/rttl.c | 0 .../Legacy/LiveDsn EVB/EX06/Firmware/rttl.h | 0 .../LiveDsn EVB/EX06/Firmware/seven_segment.c | 0 .../LiveDsn EVB/EX06/Firmware/seven_segment.h | 0 .../EX06/Hardware/FPGA_51_Countdown.SchDoc | Bin .../LiveDsn EVB/EX06/Hardware/LedsCounter.SchDoc | Bin .../LiveDsn EVB/EX06/Hardware/SegmentDriver.SchDoc | Bin .../LiveDsn EVB/EX06/Hardware/SoundGen.SchDoc | Bin .../LiveDsn EVB/EX07/FPGA_51_Countdown.Constraint | 0 .../LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpg | 0 .../EX07/FPGA_51_Countdown.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.ht | Bin .../LiveDsn EVB/EX07/Firmware/Countdown_main.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/EB_Wave.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/EBwav_main.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/Hware.H | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/STRIO.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/STRIO.H | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/TIMER.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/TIMER.H | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/UART.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/UART.h | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/UTIL.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/UTIL.H | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/WAVE.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/WAVE.H | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.C | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.H | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/conio.c | 0 .../Legacy/LiveDsn EVB/EX07/Firmware/conio.h | 0 .../LiveDsn EVB/EX07/Firmware/seven_segment.c | 0 .../LiveDsn EVB/EX07/Firmware/seven_segment.h | 0 .../Legacy/LiveDsn EVB/EX07/Hardware/CPU_51.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/ClockDivider.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/ConsoleController.VHD | 0 .../LiveDsn EVB/EX07/Hardware/DeltaSigma12.SchDoc | Bin .../EX07/Hardware/FPGA_51_Countdown.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/SFR_EXPANDER.VHD | 0 .../Legacy/LiveDsn EVB/EX07/Hardware/SRAM_INT.VHD | 0 .../LiveDsn EVB/EX07/Hardware/SegmentDriver.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/TColour2to3.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/TConsoleWindow.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/TDesktop.SchDoc | Bin .../LiveDsn EVB/EX07/Hardware/TDisplay.SchDoc | Bin .../EX07/Hardware/TLevelMeterWindow.SchDoc | Bin .../Legacy/LiveDsn EVB/EX07/Hardware/TRange.VHD | 0 .../Legacy/LiveDsn EVB/EX07/Hardware/TWindow.SchDoc | Bin .../Legacy/LiveDsn EVB/EX07/Hardware/div1p5.vhd | 0 .../Soft Designs/Legacy/LiveDsn EVB/EX07/font.hex | 0 .../Soft Designs/Legacy/LiveDsn EVB/EX07/ringin.wav | Bin .../Legacy/LiveDsn EVB/EX08/Counter.SchDoc | Bin .../Legacy/LiveDsn EVB/EX08/Counter/Counter.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX08/Counter/Counter.asm | 0 .../LiveDsn EVB/EX08/FPGA_Z80_Counter.Constraint | 0 .../Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpg | 0 .../EX08/FPGA_Z80_Counter.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.SchDoc | Bin .../Legacy/LiveDsn EVB/EX08/INPORT2x8.vhd | 0 .../Legacy/LiveDsn EVB/EX08/OUTPORT2x8.vhd | 0 .../LiveDsn EVB/EX09/DigitalIO/DigitalIO.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.asm | 0 .../LiveDsn EVB/EX09/FPGA_165B_DigitalIO.Constraint | 0 .../LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpg | 0 .../EX09/FPGA_165B_DigitalIO.PrjFpgStructure | 0 .../LiveDsn EVB/EX09/FPGA_165B_DigitalIO.SchDoc | Bin .../LiveDsn EVB/EX10/FPGA_51_Morse.Constraint | 0 .../Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpg | 0 .../LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.SchDoc | Bin .../Legacy/LiveDsn EVB/EX10/Mcu1.SchDoc | Bin .../Legacy/LiveDsn EVB/EX10/Mcu1/MCU1.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX10/Mcu1/mcu1.c | 0 .../Legacy/LiveDsn EVB/EX10/Mcu1/morse.c | 0 .../Legacy/LiveDsn EVB/EX10/Mcu1/morse.h | 0 .../LiveDsn EVB/EX11/FPGA_52_Morse.Constraint | 0 .../Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpg | 0 .../LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpgStructure | 0 .../Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.SchDoc | Bin .../Legacy/LiveDsn EVB/EX11/MCU1.SchDoc | Bin .../Legacy/LiveDsn EVB/EX11/Mcu1/MCU1.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX11/Mcu1/Mcu1.c | 0 .../Legacy/LiveDsn EVB/EX11/Mcu1/morse.c | 0 .../Legacy/LiveDsn EVB/EX11/Mcu1/morse.h | 0 .../Legacy/LiveDsn EVB/EX11/myconstr.ucf | 0 .../165A_Repeat_DigitalIO.PrjEmb | 0 .../165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm | 0 .../EX12/FPGA_165A_Repeat_DigitalIO.Constraint | 0 .../EX12/FPGA_165A_Repeat_DigitalIO.PrjFpg | 0 .../EX12/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure | 0 .../EX12/FPGA_165A_Repeat_DigitalIO.SchDoc | Bin .../EX12/FPGA_165A_Repeat_DigitalIO_Base.SchDoc | Bin .../165B_Repeat_DigitalIO.PrjEmb | 0 .../165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm | 0 .../EX13/FPGA_165B_Repeat_DigitalIO.Constraint | 0 .../EX13/FPGA_165B_Repeat_DigitalIO.PrjFpg | 0 .../EX13/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure | 0 .../EX13/FPGA_165B_Repeat_DigitalIO.SchDoc | Bin .../EX13/FPGA_165B_Repeat_DigitalIO_Base.SchDoc | Bin .../Legacy/LiveDsn EVB/EX14/Divider.schdoc | Bin .../EX14/FPGA_165B_StopWatch_7SEG.Constraint | 0 .../EX14/FPGA_165B_StopWatch_7SEG.PrjFpg | 0 .../EX14/FPGA_165B_StopWatch_7SEG.PrjFpgStructure | 0 .../EX14/FPGA_165B_StopWatch_7SEG.SchDoc | Bin .../Legacy/LiveDsn EVB/EX14/Splitter.SchDoc | Bin .../Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.Asm | 0 .../LiveDsn EVB/EX14/StopWatch/StopWatch.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX15/Divider.SchDoc | Bin .../LiveDsn EVB/EX15/FPGA_165B_StopWatch.Constraint | 0 .../LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpg | 0 .../EX15/FPGA_165B_StopWatch.PrjFpgStructure | 0 .../LiveDsn EVB/EX15/FPGA_165B_StopWatch.SchDoc | Bin .../Legacy/LiveDsn EVB/EX15/LCD_Controller.VHD | 0 .../Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.ASM | 0 .../LiveDsn EVB/EX15/StopWatch/StopWatch.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX15/TColour2to3.SchDoc | Bin .../Legacy/LiveDsn EVB/EX15/TDesktop.SchDoc | Bin .../Legacy/LiveDsn EVB/EX15/TDisplay.SchDoc | Bin .../Legacy/LiveDsn EVB/EX15/TLCDDisplay.SchDoc | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX15/TRange.VHD | 0 .../Legacy/LiveDsn EVB/EX15/TWindow.SchDoc | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX15/font.hex | 0 .../LiveDsn EVB/EX16/FPGA_165A_Watchdog.Constraint | 0 .../LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpg | 0 .../EX16/FPGA_165A_Watchdog.PrjFpgStructure | 0 .../LiveDsn EVB/EX16/FPGA_165A_Watchdog.SchDoc | Bin .../Legacy/LiveDsn EVB/EX16/Watchdog.Vhd | 0 .../LiveDsn EVB/EX16/Watchdog/Watchdog.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.asm | 0 .../Legacy/LiveDsn EVB/EX17/BarGraph.Vhd | 0 .../Legacy/LiveDsn EVB/EX17/CPU_51.SchDoc | Bin .../Legacy/LiveDsn EVB/EX17/DeltaSigma12.SchDoc | Bin .../LiveDsn EVB/EX17/FPGA_51_Wave_Player.Constraint | 0 .../LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpg | 0 .../EX17/FPGA_51_Wave_Player.PrjFpgStructure | 0 .../LiveDsn EVB/EX17/FPGA_51_Wave_Player.SchDoc | Bin .../Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.ht | Bin .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Hware.H | 0 .../EX17/MCU_Wave_Player/MCU_Wave_Player.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.c | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.h | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.c | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.h | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.C | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.h | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.c | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.h | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.c | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.h | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.c | 0 .../Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.h | 0 .../LiveDsn EVB/EX17/MCU_Wave_Player/Wave_Player.c | 0 .../Legacy/LiveDsn EVB/EX17/SFR_EXPANDER.Vhd | 0 .../Legacy/LiveDsn EVB/EX17/SRAM_INT.Vhd | 0 .../Soft Designs/Legacy/LiveDsn EVB/EX17/div1p5.vhd | 0 .../Soft Designs/Legacy/LiveDsn EVB/EX17/ringin.wav | Bin .../LiveDsn EVB/EX18/Altium Mod Player Example.doc | Bin .../Legacy/LiveDsn EVB/EX18/DeltaSigma12.SchDoc | Bin .../Soft Designs/Legacy/LiveDsn EVB/EX18/Div1p5.Vhd | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/AudioMixer.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/Config.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/Datatype.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/Hware.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/Main.c | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.c | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/Mod.h | 0 .../LiveDsn EVB/EX18/Embedded/ModPlayer.PrjEmb | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/SIO.c | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/SIO.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/StrIO.c | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/StrIO.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.c | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/audiomixer.c | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/fractional.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/hardware.h | 0 .../Legacy/LiveDsn EVB/EX18/Embedded/mod.c | 0 .../Legacy/LiveDsn EVB/EX18/Led_Int.Vhd | 0 .../Legacy/LiveDsn EVB/EX18/Port32_IO.Vhd | 0 .../Legacy/LiveDsn EVB/EX18/Sample_Counter.Vhd | 0 .../Legacy/LiveDsn EVB/EX18/Sample_Int.SchDoc | Bin .../Legacy/LiveDsn EVB/EX18/Sample_Mux.Vhd | 0 .../Legacy/LiveDsn EVB/EX18/Sample_Seqencer.SchDoc | Bin .../LiveDsn EVB/EX18/TSK3000A_MOD_Player.Constraint | 0 .../Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.ht | Bin .../EX18/TSK3000A_MOD_Player_Altera.DsnWrk | 0 .../EX18/TSK3000A_MOD_Player_Altera.PrjFpg | 0 .../EX18/TSK3000A_MOD_Player_Altera.PrjFpgStructure | 0 .../EX18/TSK3000A_MOD_Player_Altera.SchDoc | Bin .../EX18/TSK3000A_MOD_Player_Xilinx.PrjFpg | 0 .../EX18/TSK3000A_MOD_Player_Xilinx.PrjFpgStructure | 0 .../EX18/TSK3000A_MOD_Player_Xilinx.SchDoc | Bin .../Legacy/LiveDsn EVB/EX18/WB_Read_Master.Vhd | 0 .../Legacy/LiveDsn EVB/EX18/harbbize.mod | Bin .../Legacy/LiveDsn EVB/EX18/harjedal.mod | Bin .../LiveDsn EVB/EX19/FPGA_7Seg_Decoder.SchDoc | Bin .../LiveDsn EVB/EX19/FPGA_Interconnect.SchDoc | Bin .../Legacy/LiveDsn EVB/EX19/FPGA_Port.SchDoc | Bin .../LiveDsn EVB/EX19/FPGA_PortSwitcher.SchDoc | Bin .../Legacy/LiveDsn EVB/EX19/FPGA_Processor.SchDoc | Bin .../EX19/PortSwitcherEmb/PortSwitcherEmb1C.PrjEmb | 0 .../EX19/PortSwitcherEmb/portswitcher1c.c | 0 .../EX19/PortSwitcherFPGA1C_Evalboard.Constraint | 0 .../EX19/PortSwitcherFPGA1C_Evalboard.PrjFpg | 0 .../PortSwitcherFPGA1C_Evalboard.PrjFpgStructure | 0 .../EX19/PortSwitcherFPGA1C_Evalboard.SchDoc | Bin .../EX19/PortSwitcherFPGA1C_Evalboard.ht | Bin .../AP0127 Video Arcade Games EvalBoard Example.pdf | Bin .../LiveDsn EVB/EX20/HardwarePlatform/CodecDAC.VHD | 0 .../LiveDsn EVB/EX20/HardwarePlatform/FSR.SCHDOC | Bin .../EX20/HardwarePlatform/SigmaDelta.SCHDOC | Bin .../EX20/HardwarePlatform/SoundDAC.SchDoc | Bin .../EX20/HardwarePlatform/VGAController.SCHDOC | Bin .../EX20/HardwarePlatform/VideoArcade.PRJFPG | 0 .../HardwarePlatform/VideoArcade.PRJFPGStructure | 0 .../EX20/HardwarePlatform/VideoArcade.SCHDOC | Bin .../EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPG | 0 .../VideoArcadeMCUX2.PRJFPGStructure | 0 .../LiveDsn EVB/EX20/HardwarePlatform/flipRow.VHDL | 0 .../EX20/HardwarePlatform/graphics/project_tile.hex | 0 .../EX20/HardwarePlatform/graphics/sprite_0.hex | 0 .../EX20/HardwarePlatform/graphics/sprite_1.hex | 0 .../EX20/HardwarePlatform/graphics/sprite_2.hex | 0 .../EX20/HardwarePlatform/graphics/sprite_3.hex | 0 .../HardwarePlatform/graphics/terminal_font.hex | 0 .../LiveDsn EVB/EX20/HardwarePlatform/mapCtl.VHDL | 0 .../LiveDsn EVB/EX20/HardwarePlatform/mcu.SCHDOC | Bin .../LiveDsn EVB/EX20/HardwarePlatform/mcuA.SCHDOC | Bin .../LiveDsn EVB/EX20/HardwarePlatform/mcuB.SCHDOC | Bin .../EX20/HardwarePlatform/myLCD16X2A.vhd | 0 .../LiveDsn EVB/EX20/HardwarePlatform/sound.SCHDOC | Bin .../EX20/HardwarePlatform/sound/game_snd.hex | 0 .../EX20/HardwarePlatform/sound/snddemo0.hex | 0 .../EX20/HardwarePlatform/sound/snddemo1.hex | 0 .../EX20/HardwarePlatform/spt8MapMCUx2.SCHDOC | Bin .../EX20/HardwarePlatform/sptArbiter.SCHDOC | Bin .../EX20/HardwarePlatform/sptArbiterX2.SCHDOC | Bin .../EX20/HardwarePlatform/sptArray.SCHDOC | Bin .../EX20/HardwarePlatform/sptArrayX2.SCHDOC | Bin .../EX20/HardwarePlatform/sptCtlSch.SCHDOC | Bin .../EX20/HardwarePlatform/sptCtlVHDL.VHDL | 0 .../EX20/HardwarePlatform/sptMem2K.SCHDOC | Bin .../EX20/HardwarePlatform/sptPriHit.VHDL | 0 .../LiveDsn EVB/EX20/HardwarePlatform/sptReg.SCHDOC | Bin .../EX20/HardwarePlatform/tileMap.SCHDOC | Bin .../LiveDsn EVB/EX20/HardwarePlatform/vgacfg.VHDL | 0 .../Legacy/LiveDsn EVB/EX20/Software/BocMan.PRJEMB | 0 .../LiveDsn EVB/EX20/Software/Bocanoid.PRJEMB | 0 .../Legacy/LiveDsn EVB/EX20/Software/Games.PRJEMB | 0 .../LiveDsn EVB/EX20/Software/Source/arkanoid.c | 0 .../LiveDsn EVB/EX20/Software/Source/arkanoid.h | 0 .../Legacy/LiveDsn EVB/EX20/Software/Source/demo.c | 0 .../Legacy/LiveDsn EVB/EX20/Software/Source/demo.h | 0 .../Legacy/LiveDsn EVB/EX20/Software/Source/main.C | 0 .../LiveDsn EVB/EX20/Software/Source/nano_hw.h | 0 .../LiveDsn EVB/EX20/Software/Source/osdepend.H | 0 .../LiveDsn EVB/EX20/Software/Source/pacman.c | 0 .../LiveDsn EVB/EX20/Software/Source/pacman.h | 0 .../LiveDsn EVB/EX20/Software/Source/pacman_map.c | 0 .../LiveDsn EVB/EX20/Software/Source/terminal.c | 0 .../LiveDsn EVB/EX20/Software/Source/terminal.h | 0 .../Legacy/LiveDsn EVB/EX20/VideoArcadeGames.DsnWrk | 0 .../Legacy/LiveDsn EVB/EX20/config_games.pas | 0 .../Legacy/LiveDsn EVB/EX20/config_terminal.pas | 0 .../Countdown Timer/FPGA_Countdown.Constraint | 0 .../Countdown Timer/FPGA_Countdown.PrjFpg | 0 .../Countdown Timer/FPGA_Countdown.PrjFpgStructure | 0 .../Countdown Timer/FPGA_Countdown.SchDoc | Bin .../Countdown Timer/ModDownCounter.SchDoc | Bin .../FPGA Hardware/Countdown Timer/Splitter.SchDoc | Bin .../Hex Multiplier/FPGA_HexMultiplier.PrjFpg | 0 .../FPGA_HexMultiplier.PrjFpgStructure | 0 .../Hex Multiplier/FPGA_HexMultiplier.SchDoc | Bin .../LED Chaser - Hardware/BrightnessPalette.VHD | 0 .../LED Chaser - Hardware/DRIVERS.SchDoc | Bin .../FPGA_LedChaser_EvalBoard.Constraint | 0 .../FPGA_LedChaser_EvalBoard.PrjFpg | 0 .../FPGA_LedChaser_EvalBoard.PrjFpgStructure | 0 .../FPGA_LedChaser_EvalBoard.SchDoc | Bin .../FPGA Hardware/LED Chaser - Hardware/PWM.SchDoc | Bin .../LED Chaser - Hardware/SHIFTER.SchDoc | Bin .../FPGA_LED_Chasser_IO_Module.Constraint | 0 .../FPGA_LED_Chasser_IO_Module.PrjFpg | 0 .../FPGA_LED_Chasser_IO_Module.PrjFpgStructure | 0 .../FPGA_LED_Chasser_IO_Module.SchDoc | Bin .../FPGA Hardware/SineWave/FPGA_SineWave.Constraint | 0 .../FPGA Hardware/SineWave/FPGA_SineWave.PrjFpg | 0 .../SineWave/FPGA_SineWave.PrjFpgStructure | 0 .../FPGA Hardware/SineWave/FPGA_SineWave.SchDoc | Bin .../LiveDsn EVB/FPGA Hardware/SineWave/SineOsc.VHD | 0 .../Serial - TSK51/ConsoleController.VHD | 0 .../Serial - TSK51/FPGA_51_Serial.Constraint | 0 .../Serial - TSK51/FPGA_51_Serial.PrjFpg | 0 .../Serial - TSK51/FPGA_51_Serial.PrjFpgStructure | 0 .../Serial - TSK51/FPGA_51_Serial.SchDoc | Bin .../Serial - TSK51/FPGA_51_Serial.ht | Bin .../FPGA Processor/Serial - TSK51/MCU1.SchDoc | Bin .../FPGA Processor/Serial - TSK51/Mcu1/MCU1.PrjEmb | 0 .../FPGA Processor/Serial - TSK51/Mcu1/Timer.H | 0 .../FPGA Processor/Serial - TSK51/Mcu1/conio.c | 0 .../FPGA Processor/Serial - TSK51/Mcu1/conio.h | 0 .../FPGA Processor/Serial - TSK51/Mcu1/mcu1.c | 0 .../FPGA Processor/Serial - TSK51/Mcu1/serial.c | 0 .../FPGA Processor/Serial - TSK51/Mcu1/serial.h | 0 .../FPGA Processor/Serial - TSK51/Mcu1/timer.c | 0 .../Serial - TSK51/TColour2to3.schDoc | Bin .../Serial - TSK51/TConsoleWindow.SchDoc | Bin .../FPGA Processor/Serial - TSK51/TDesktop.SchDoc | Bin .../FPGA Processor/Serial - TSK51/TDisplay.SchDoc | Bin .../FPGA Processor/Serial - TSK51/TRange.VHD | 0 .../FPGA Processor/Serial - TSK51/TWindow.SchDoc | Bin .../FPGA Processor/Serial - TSK51/font.hex | 0 .../Common/NEB_AUDIO_OUT.SCHDOC | Bin .../Common/NEB_LED_KEY.SchDoc | Bin .../Common/NEB_PCIO.SchDoc | Bin .../Common/NEB_SRAM.SchDoc | Bin .../Common/NEB_USRIO.SchDoc | Bin .../Common/NEB_XTALOSC.SchDoc | Bin .../EB2_Cyclone_1_03.PcbDoc | Bin .../Cyclone FBGA324 1.03 EB2/FPGA_CYCLONE.SchDoc | Bin .../NEB_BYTEBLASTER_INT.SchDoc | Bin .../Cyclone FBGA324 1.03 EB2/NEB_PSU_CYCLONE.SCHDOC | Bin .../Cyclone FBGA324 1.03 EB2/NEB_TOP_CYCLONE.SchDoc | Bin .../Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCB | 0 .../EB2_Cyclone_BGA324 1_03.PrjPCBStructure | 0 .../LiveDesign Evaluation Boards.DsnWrk | 0 .../EB1_Spartan_III_BGA456 1_02.PrjPCB | 0 .../EB1_Spartan_III_BGA456 1_02.PrjPCBStructure | 0 .../EB1_Spartan_III_1_02.PcbDoc | Bin .../FPGA_SPARTAN_III.SchDoc | Bin .../Spartan III BGA456 1.02 EB1/NEB_ISE_INT.SchDoc | Bin .../NEB_PSU_SPARTAN.SCHDOC | Bin .../NEB_TOP_SPARTAN.SchDoc | Bin .../Legacy/NB1/Device Driver Code/adc_max1037.c | 0 .../Legacy/NB1/Device Driver Code/adc_max1037.h | 0 .../NB1/Device Driver Code/arm7_util_timing.c | 0 .../Legacy/NB1/Device Driver Code/clock_arm7.c | 0 .../Legacy/NB1/Device Driver Code/clock_ics307.c | 0 .../Legacy/NB1/Device Driver Code/clock_ics307.h | 0 .../Legacy/NB1/Device Driver Code/codec_max1104.c | 0 .../Legacy/NB1/Device Driver Code/codec_max1104.h | 0 .../Legacy/NB1/Device Driver Code/courier_new_8.c | 0 .../Legacy/NB1/Device Driver Code/courier_new_8.h | 0 .../Legacy/NB1/Device Driver Code/dac_max5841.c | 0 .../Legacy/NB1/Device Driver Code/dac_max5841.h | 0 .../Legacy/NB1/Device Driver Code/flash_am29.H | 0 .../Legacy/NB1/Device Driver Code/flash_am29.c | 0 .../NB1/Device Driver Code/flash_am29_ppc405cr.c | 0 .../Legacy/NB1/Device Driver Code/flash_m25px0.c | 0 .../Legacy/NB1/Device Driver Code/flash_m25px0.h | 0 .../Legacy/NB1/Device Driver Code/io_lcd_ks0066u.c | 0 .../Legacy/NB1/Device Driver Code/io_lcd_ks0066u.h | 0 .../Legacy/NB1/Device Driver Code/io_wb_ps2.c | 0 .../Legacy/NB1/Device Driver Code/io_wb_ps2.h | 0 .../Legacy/NB1/Device Driver Code/io_wb_vdu.c | 0 .../Legacy/NB1/Device Driver Code/io_wb_vdu.h | 0 .../Legacy/NB1/Device Driver Code/io_wb_vga.c | 0 .../Legacy/NB1/Device Driver Code/io_wb_vga.h | 0 .../Legacy/NB1/Device Driver Code/keypad_4x4.c | 0 .../Legacy/NB1/Device Driver Code/keypad_4x4.h | 0 .../Legacy/NB1/Device Driver Code/lcd_ks0066u.c | 0 .../Legacy/NB1/Device Driver Code/lcd_ks0066u.h | 0 .../Legacy/NB1/Device Driver Code/lcd_ks0066uFPGA.c | 0 .../Legacy/NB1/Device Driver Code/nb_spi.c | 0 .../Legacy/NB1/Device Driver Code/nb_spi.h | 0 .../proc_bluestreak_arm7_startup.c | 0 .../proc_bluestreak_arm7_startup.h | 0 .../Legacy/NB1/Device Driver Code/proc_ppc405cr.c | 0 .../Legacy/NB1/Device Driver Code/proc_ppc405cr.h | 0 .../Legacy/NB1/Device Driver Code/proc_tsk3000.c | 0 .../Legacy/NB1/Device Driver Code/proc_tsk3000.h | 0 .../Legacy/NB1/Device Driver Code/proc_tsknios2.c | 0 .../Legacy/NB1/Device Driver Code/proc_tsknios2.h | 0 .../Legacy/NB1/Device Driver Code/util_timing.c | 0 .../Legacy/NB1/Device Driver Code/util_timing.h | 0 .../Legacy/NB1/Device Driver Code/wb_emac8.c | 0 .../Legacy/NB1/Device Driver Code/wb_emac8.h | 0 .../Legacy/NB1/Device Driver Code/wb_i2c.c | 0 .../Legacy/NB1/Device Driver Code/wb_i2c.h | 0 .../Legacy/NB1/Device Driver Code/wb_ps2.c | 0 .../Legacy/NB1/Device Driver Code/wb_ps2.h | 0 .../Legacy/NB1/Device Driver Code/wb_pwm.c | 0 .../Legacy/NB1/Device Driver Code/wb_pwm.h | 0 .../Legacy/NB1/Device Driver Code/wb_spi.c | 0 .../Legacy/NB1/Device Driver Code/wb_spi.h | 0 .../Legacy/NB1/Device Driver Code/wb_srl0.c | 0 .../Legacy/NB1/Device Driver Code/wb_srl0.h | 0 .../Legacy/NB1/Device Driver Code/wb_tmr3.c | 0 .../Legacy/NB1/Device Driver Code/wb_tmr3.h | 0 .../Legacy/NB1/Device Driver Code/wb_vdu.c | 0 .../Legacy/NB1/Device Driver Code/wb_vdu.h | 0 .../Legacy/NB1/Device Driver Code/wb_vga.c | 0 .../Legacy/NB1/Device Driver Code/wb_vga.h | 0 .../Legacy/NB1/Device Driver Code/wb_vga_defs.h | 0 .../Soft Designs/Legacy/NB1/EX01/MAX1104.Constraint | 0 .../Soft Designs/Legacy/NB1/EX01/MAX1104.PRJFPG | 0 .../Legacy/NB1/EX01/MAX1104_C/Interrupt0.h | 0 .../Legacy/NB1/EX01/MAX1104_C/MAX1104_C.PRJEMB | 0 .../Legacy/NB1/EX01/MAX1104_C/interrupt0.c | 0 .../Soft Designs/Legacy/NB1/EX01/MAX1104_C/main.C | 0 .../Soft Designs/Legacy/NB1/EX01/MAX1104_C/ntype.h | 0 .../Soft Designs/Legacy/NB1/EX01/MAX1104_CTRL.VHD | 0 .../Soft Designs/Legacy/NB1/EX01/MAX1104_TOP.SCHDOC | Bin .../Legacy/NB1/EX01/Max1104.prjfpgStructure | 0 .../Soft Designs/Legacy/NB1/EX02/MAX1104.Constraint | 0 .../Soft Designs/Legacy/NB1/EX02/MAX1104_CTRL.VHD | 0 .../Soft Designs/Legacy/NB1/EX02/MAX1104_TOP.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX02/Max1104.prjfpg | 0 .../Legacy/NB1/EX02/Max1104.prjfpgStructure | 0 .../Legacy/NB1/EX02/Max1104_c/Interrupt0.c | 0 .../Legacy/NB1/EX02/Max1104_c/Interrupt0.h | 0 .../Legacy/NB1/EX02/Max1104_c/MAX1104_C.PRJEMB | 0 .../Soft Designs/Legacy/NB1/EX02/Max1104_c/main.C | 0 .../Legacy/NB1/EX02/Max1104_c/max1104_c_cstart.c | 0 .../Soft Designs/Legacy/NB1/EX02/Max1104_c/ntype.h | 0 .../Legacy/NB1/EX02/Max1104dac_ctrl.vhd | 0 .../Legacy/NB1/EX02/PortsSplitter.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX02/max1104_driver.vhd | 0 .../Soft Designs/Legacy/NB1/EX02/myconstr.ucf | 0 .../Legacy/NB1/EX03/AudioCodec_FlashMemory.DsnWrk | 0 .../NB1/EX03/FPGA_AudioCodec_Flash.Constraint | 0 .../Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpg | 0 .../NB1/EX03/FPGA_AudioCodec_Flash.PrjFpgStructure | 0 .../Legacy/NB1/EX03/FPGA_AudioCodec_Flash.SchDoc | Bin .../Legacy/NB1/EX03/KeyPadScanner.SchDoc | Bin .../Legacy/NB1/EX03/LogicAnalyser.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX03/MCU_Master.SCHDOC | Bin .../Legacy/NB1/EX03/PortSplitters.SchDoc | Bin .../NB1/EX03/SoundProcessor/SoundProcessor.PrjEmb | 0 .../Legacy/NB1/EX03/SoundProcessor/TSK165_Sound.ASM | 0 .../Legacy/NB1/EX03/SoundRateGenerator.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX03/swtheme_11_KHz.Snd | 0 .../Soft Designs/Legacy/NB1/EX04/Buzzer.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.C | 0 .../Legacy/NB1/EX04/Buzzer/Buzzer.PrjEmb | 0 .../NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.Constraint | 0 .../NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpg | 0 .../EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure | 0 .../NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX04/Keypad.SchDoc | Bin .../Legacy/NB1/EX04/Keypad/Keypad.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.asm | 0 .../Soft Designs/Legacy/NB1/EX05/Buzzer.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.C | 0 .../Legacy/NB1/EX05/Buzzer/Buzzer.PRJEMB | 0 .../Legacy/NB1/EX05/Buzzer_DualProcessor.DsnWrk | 0 .../NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.Constraint | 0 .../NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpg | 0 .../EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure | 0 .../NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX05/Keypad.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX05/Keypad/KEYPAD.ASM | 0 .../Legacy/NB1/EX05/Keypad/Keypad.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX06/Buzzer.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.C | 0 .../Legacy/NB1/EX06/Buzzer/Buzzer.PRJEMB | 0 .../Legacy/NB1/EX06/Buzzer_DualProcessor.DsnWrk | 0 .../NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.Constraint | 0 .../NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpg | 0 .../EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpgStructure | 0 .../NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX06/Keypad.SchDoc | Bin .../Legacy/NB1/EX06/Keypad/Keypad.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.asm | 0 .../NB1/EX07/CAN_Receiver/CAN_Receiver.PrjEmb | 0 .../Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.c | 0 .../Soft Designs/Legacy/NB1/EX07/CAN_Receiver/def.h | 0 .../Legacy/NB1/EX07/FPGA_52_CAN_Receiver.Constraint | 0 .../Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpg | 0 .../NB1/EX07/FPGA_52_CAN_Receiver.PrjFpgStructure | 0 .../Legacy/NB1/EX07/FPGA_52_CAN_Receiver.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX07/McuMemory.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX07/myconstr.ucf | 0 .../Soft Designs/Legacy/NB1/EX07/wb_decoder.vhd | 0 .../NB1/EX08/FPGA_165B_DigitizedSound.Constraint | 0 .../Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpg | 0 .../EX08/FPGA_165B_DigitizedSound.PrjFpgStructure | 0 .../Legacy/NB1/EX08/FPGA_165B_DigitizedSound.SchDoc | Bin .../Legacy/NB1/EX08/I2C_Arbitration.SchDoc | Bin .../Legacy/NB1/EX08/LogicAnalyser.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX08/MCU_Master.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX08/PWM.SCHDOC | Bin .../Legacy/NB1/EX08/PortSplitters.SchDoc | Bin .../Legacy/NB1/EX08/SW_Assignments.SCHDOC | Bin .../Legacy/NB1/EX08/SoundGenerator.SchDoc | Bin .../NB1/EX08/SoundProcessor/SoundProcessor.PrjEmb | 0 .../Legacy/NB1/EX08/SoundProcessor/TSK165_Sound.ASM | 0 .../Legacy/NB1/EX08/Windows XP Logoff Sound.SND | 0 .../Legacy/NB1/EX08/Windows XP Startup_8.Snd | 0 .../Soft Designs/Legacy/NB1/EX08/swtheme.Snd | 0 .../Soft Designs/Legacy/NB1/EX08/swtheme_11_KHz.Snd | 0 .../Soft Designs/Legacy/NB1/EX08/tada_8.Snd | 0 .../NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpg | 0 .../FPGA_51_Ethernet_Ping_E_MAC.PrjFpgStructure | 0 .../NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.SchDoc | Bin .../NB1/EX09/Ping/common/drivers/eth_driver.h | 0 .../Legacy/NB1/EX09/Ping/common/ethernet.c | 0 .../Legacy/NB1/EX09/Ping/common/ethernet.h | 0 .../Soft Designs/Legacy/NB1/EX09/Ping/common/sys.h | 0 .../Legacy/NB1/EX09/Ping/common/sys_rom.c | 0 .../Legacy/NB1/EX09/Ping/common/tcpip.c | 0 .../Legacy/NB1/EX09/Ping/common/tcpip.h | 0 .../Legacy/NB1/EX09/Ping/common/tcpip_global.h | 0 .../Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.c | 0 .../Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.h | 0 .../Legacy/NB1/EX09/Ping/fpga/vhdl_emac.c | 0 .../Legacy/NB1/EX09/Ping/fpga/vhdl_emac.h | 0 .../Soft Designs/Legacy/NB1/EX09/Ping/main.c | 0 .../Soft Designs/Legacy/NB1/EX09/Ping/ping.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX09/Ping/tcpipset.h | 0 .../Soft Designs/Legacy/NB1/EX09/Ping/tcpipsysset.h | 0 .../Legacy/NB1/EX09/Ping/tealib/tealib_cfg.h | 0 .../Legacy/NB1/EX09/Ping/tealib/timer.c | 0 .../Legacy/NB1/EX09/Ping/tealib/timer.h | 0 .../Legacy/NB1/EX09/Ping/tealib/timer0.c | 0 .../Legacy/NB1/EX09/Ping/tealib/timer0.h | 0 .../Soft Designs/Legacy/NB1/EX09/memif.Vhd | 0 .../NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpg | 0 .../FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpgStructure | 0 .../NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.SchDoc | Bin .../NB1/EX10/Ping/common/drivers/eth_driver.h | 0 .../Legacy/NB1/EX10/Ping/common/ethernet.c | 0 .../Legacy/NB1/EX10/Ping/common/ethernet.h | 0 .../Soft Designs/Legacy/NB1/EX10/Ping/common/sys.h | 0 .../Legacy/NB1/EX10/Ping/common/sys_rom.c | 0 .../Legacy/NB1/EX10/Ping/common/tcpip.c | 0 .../Legacy/NB1/EX10/Ping/common/tcpip.h | 0 .../Legacy/NB1/EX10/Ping/common/tcpip_global.h | 0 .../Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.c | 0 .../Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.h | 0 .../Legacy/NB1/EX10/Ping/fpga/vhdl_emac.c | 0 .../Legacy/NB1/EX10/Ping/fpga/vhdl_emac.h | 0 .../Soft Designs/Legacy/NB1/EX10/Ping/main.c | 0 .../Soft Designs/Legacy/NB1/EX10/Ping/ping.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX10/Ping/tcpipset.h | 0 .../Soft Designs/Legacy/NB1/EX10/Ping/tcpipsysset.h | 0 .../Legacy/NB1/EX10/Ping/tealib/tealib_cfg.h | 0 .../Legacy/NB1/EX10/Ping/tealib/timer.c | 0 .../Legacy/NB1/EX10/Ping/tealib/timer.h | 0 .../Legacy/NB1/EX10/Ping/tealib/timer0.c | 0 .../Legacy/NB1/EX10/Ping/tealib/timer0.h | 0 .../Soft Designs/Legacy/NB1/EX10/memif.Vhd | 0 .../FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpg | 0 ..._51_Ethernet_Send_Frame_E_MAC_MD.PrjFpgStructure | 0 .../FPGA_51_Ethernet_Send_Frame_E_MAC_MD.SchDoc | Bin .../Legacy/NB1/EX11/SendFrame/SendFrame.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX11/SendFrame/main.c | 0 .../Soft Designs/Legacy/NB1/EX11/memif.Vhd | 0 .../Soft Designs/Legacy/NB1/EX12/Ext_Mem.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl.Vhd | 0 .../NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb | 0 .../Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm | 0 .../NB1/EX12/FPGA_51_External_Memory.Constraint | 0 .../Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpg | 0 .../EX12/FPGA_51_External_Memory.PrjFpgStructure | 0 .../Legacy/NB1/EX12/FPGA_51_External_Memory.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX13/ExtMem.Vhd | 0 .../Soft Designs/Legacy/NB1/EX13/Ext_Mem.SchDoc | Bin .../NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb | 0 .../Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm | 0 .../NB1/EX13/FPGA_52_External_Memory.Constraint | 0 .../Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpg | 0 .../EX13/FPGA_52_External_Memory.PrjFpgStructure | 0 .../Legacy/NB1/EX13/FPGA_52_External_Memory.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX14/CLOCKS.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX14/Decoder.SCHDOC | Bin .../NB1/EX14/ExternalTimer/ExternalTimer.PrjEmb | 0 .../Legacy/NB1/EX14/ExternalTimer/ExternalTimer.asm | 0 .../NB1/EX14/FPGA_80A_ExternalTimer.Constraint | 0 .../Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpg | 0 .../NB1/EX14/FPGA_80A_ExternalTimer.PrjFpgStructure | 0 .../Legacy/NB1/EX14/FPGA_80A_ExternalTimer.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX14/MCU_TSK80.SchDoc | Bin .../Legacy/NB1/EX14/PortSplitters.SchDoc | Bin .../NB1/EX15/FPGA_TSK52_I2C_Wishbone.Constraint | 0 .../Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpg | 0 .../EX15/FPGA_TSK52_I2C_Wishbone.PrjFpgStructure | 0 .../Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C.h | 0 .../Legacy/NB1/EX15/I2C_CODE/I2C_CODE.c | 0 .../Soft Designs/Legacy/NB1/EX15/I2C_CODE/def.h | 0 .../Legacy/NB1/EX15/I2C_CODE/i2c_code.PRJEMB | 0 .../Soft Designs/Legacy/NB1/EX15/McuMemory.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX15/myconstr.ucf | 0 .../Soft Designs/Legacy/NB1/EX15/wb_decoder.vhd | 0 .../Legacy/NB1/EX16/FPGA_165B_DAC.Constraint | 0 .../Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpg | 0 .../Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpgStructure | 0 .../Legacy/NB1/EX16/FPGA_165B_DAC.SchDoc | Bin .../Legacy/NB1/EX16/I2C_Arbitration.SchDoc | Bin .../Legacy/NB1/EX16/KeyPadScanner.SchDoc | Bin .../Legacy/NB1/EX16/PortSplitters.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX16/Software/DAC.ASM | 0 .../Legacy/NB1/EX16/Software/DAC.PrjEmb | 0 .../Legacy/NB1/EX16/Software/DAC_Data.ASM | 0 .../Legacy/NB1/EX16/Software/DAC_Defines.Asm | 0 .../Soft Designs/Legacy/NB1/EX16/Software/LCD.ASM | 0 .../Soft Designs/Legacy/NB1/EX16/Software/i2c.asm | 0 .../Embedded/TSK51A_IO_Redirect_LCD_KeyPad.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX17/Embedded/_read.c | 0 .../Soft Designs/Legacy/NB1/EX17/Embedded/_write.c | 0 .../Soft Designs/Legacy/NB1/EX17/Embedded/lcd.c | 0 .../Soft Designs/Legacy/NB1/EX17/Embedded/lcd.h | 0 .../Soft Designs/Legacy/NB1/EX17/Embedded/main.c | 0 .../FPGA_TSK51A_IO_Redirect_LCD_KeyPad.Constraint | 0 .../EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpg | 0 ...GA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpgStructure | 0 .../EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX17/extmmu51.vhd | 0 .../Soft Designs/Legacy/NB1/EX17/uart115200.Vhd | 0 .../EX18/Embedded/TSK51A_IO_Redirect_Serial.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX18/Embedded/_read.c | 0 .../Soft Designs/Legacy/NB1/EX18/Embedded/_write.c | 0 .../Soft Designs/Legacy/NB1/EX18/Embedded/lcd.c | 0 .../Soft Designs/Legacy/NB1/EX18/Embedded/lcd.h | 0 .../Soft Designs/Legacy/NB1/EX18/Embedded/main.c | 0 .../EX18/FPGA_TSK51A_IO_Redirect_Serial.Constraint | 0 .../NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpg | 0 .../FPGA_TSK51A_IO_Redirect_Serial.PrjFpgStructure | 0 .../NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.SchDoc | Bin .../NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.ht | Bin .../Soft Designs/Legacy/NB1/EX18/extmmu51.vhd | 0 .../Soft Designs/Legacy/NB1/EX18/uart115200.Vhd | 0 .../Legacy/NB1/EX19/Embedded/LCD.PrjEmb | 0 .../Legacy/NB1/EX19/Embedded/hardware.h | 0 .../Soft Designs/Legacy/NB1/EX19/Embedded/main.c | 0 .../Legacy/NB1/EX19/NiosII_LCD.Constraint | 0 .../Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpg | 0 .../Legacy/NB1/EX19/NiosII_LCD.PrjFpgStructure | 0 .../Soft Designs/Legacy/NB1/EX19/NiosII_LCD.SchDoc | Bin .../Legacy/NB1/EX20/NiosII_VGA.Constraint | 0 .../Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpg | 0 .../Legacy/NB1/EX20/NiosII_VGA.PrjFpgStructure | 0 .../Soft Designs/Legacy/NB1/EX20/NiosII_VGA.SchDoc | Bin .../Legacy/NB1/EX20/ProgramCode/VGA_Test.PrjEmb | 0 .../Legacy/NB1/EX20/ProgramCode/hardware.h | 0 .../Legacy/NB1/EX20/ProgramCode/vga_defs.h | 0 .../Legacy/NB1/EX20/ProgramCode/vga_test.c | 0 .../Legacy/NB1/EX20/ProgramCode/wb_vga.c | 0 .../Legacy/NB1/EX20/ProgramCode/wb_vga.h | 0 .../Legacy/NB1/EX21/FPGA_52_Keyboard.Constraint | 0 .../Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpg | 0 .../NB1/EX21/FPGA_52_Keyboard.PrjFpgStructure | 0 .../Legacy/NB1/EX21/FPGA_52_Keyboard.SchDoc | Bin .../Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.c | 0 .../Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.h | 0 .../NB1/EX21/KeyboardCtrl/KeyboardCtrl.PrjEmb | 0 .../Legacy/NB1/EX21/KeyboardCtrl/keyboardctrl.c | 0 .../Legacy/NB1/EX21/KeyboardCtrl/ntype.h | 0 .../Soft Designs/Legacy/NB1/EX21/myconstr.ucf | 0 .../Soft Designs/Legacy/NB1/EX21/wb_decoder.vhd | 0 .../Legacy/NB1/EX22/Embedded/TSK52B_PWM8.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX22/Embedded/main.C | 0 .../Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_W.SchDoc | Bin .../NB1/EX22/FPGA_TSK52B_WD_PWM8_w.Constraint | 0 .../Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpg | 0 .../NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpgStructure | 0 .../Soft Designs/Legacy/NB1/EX22/MEMCON.SchDoc | Bin .../Legacy/NB1/EX23/embedded/tetris/bmp.c | 0 .../Legacy/NB1/EX23/embedded/tetris/board.c | 0 .../Legacy/NB1/EX23/embedded/tetris/boc.lsl | 0 .../Legacy/NB1/EX23/embedded/tetris/hook.c | 0 .../Legacy/NB1/EX23/embedded/tetris/init.c | 0 .../Legacy/NB1/EX23/embedded/tetris/keypad.c | 0 .../Legacy/NB1/EX23/embedded/tetris/lcd.c | 0 .../Legacy/NB1/EX23/embedded/tetris/main.c | 0 .../Legacy/NB1/EX23/embedded/tetris/mytypes.h | 0 .../Legacy/NB1/EX23/embedded/tetris/nexar.oil | 0 .../Legacy/NB1/EX23/embedded/tetris/output.c | 0 .../Legacy/NB1/EX23/embedded/tetris/output.h | 0 .../Legacy/NB1/EX23/embedded/tetris/rtostetris.oil | 0 .../Legacy/NB1/EX23/embedded/tetris/score.c | 0 .../Legacy/NB1/EX23/embedded/tetris/serial.c | 0 .../Legacy/NB1/EX23/embedded/tetris/serial.h | 0 .../Legacy/NB1/EX23/embedded/tetris/serial.oil | 0 .../Legacy/NB1/EX23/embedded/tetris/serial_target.c | 0 .../Legacy/NB1/EX23/embedded/tetris/simin.c | 0 .../Legacy/NB1/EX23/embedded/tetris/simin.oil | 0 .../Legacy/NB1/EX23/embedded/tetris/standard.c | 0 .../Legacy/NB1/EX23/embedded/tetris/standard.oil | 0 .../Legacy/NB1/EX23/embedded/tetris/stroke.c | 0 .../Legacy/NB1/EX23/embedded/tetris/tetris.PrjEmb | 0 .../Legacy/NB1/EX23/embedded/tetris/tetris.c | 0 .../Legacy/NB1/EX23/embedded/tetris/tetris.h | 0 .../NB1/EX23/embedded/tetris/tetris_target.oil | 0 .../Legacy/NB1/EX23/embedded/tetris/timer.c | 0 .../Legacy/NB1/EX23/embedded/tetris/timeslot.c | 0 .../Legacy/NB1/EX23/embedded/tetris/types.h | 0 .../Legacy/NB1/EX23/embedded/tetris/vga.c | 0 .../Legacy/NB1/EX23/embedded/tetris/vt100.c | 0 .../Soft Designs/Legacy/NB1/EX23/fpga/Cpuif.vhd | 0 .../Legacy/NB1/EX23/fpga/PortSplitters.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX23/fpga/Tetris.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX23/fpga/Vgacfg.vhd | 0 .../Soft Designs/Legacy/NB1/EX23/fpga/Vgaif.vhd | 0 .../Legacy/NB1/EX23/fpga/dual_ram_if.Vhd | 0 .../Legacy/NB1/EX23/fpga/rtos.Constraint | 0 .../Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpg | 0 .../Legacy/NB1/EX23/fpga/rtos.PrjFpgStructure | 0 .../Legacy/NB1/EX24/FPGA_52_SerialO.Constraint | 0 .../Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpg | 0 .../Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpgStructure | 0 .../Legacy/NB1/EX24/FPGA_52_SerialO.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.ht | Bin .../Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.c | 0 .../Soft Designs/Legacy/NB1/EX24/Serial/def.h | 0 .../Soft Designs/Legacy/NB1/EX24/myconstr.ucf | 0 .../Soft Designs/Legacy/NB1/EX24/wb_decoder.vhd | 0 .../EX25/FPGA_165C_SimpleNetworkProtocol.Constraint | 0 .../NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpg | 0 .../FPGA_165C_SimpleNetworkProtocol.PrjFpgStructure | 0 .../NB1/EX25/FPGA_165C_SimpleNetworkProtocol.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX25/Keypad.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX25/Processor.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX25/ReadMe.doc | Bin .../Simple Network Protocol Embedded/CANDriver.ASM | 0 .../GeneralDefines.ASM | 0 .../NetworkBuffers.inc | 0 .../NetworkInclude.ASM | 0 .../PageSelectInclude.ASM | 0 .../SimpleNetworkProtocol.PrjEmb | 0 .../SimpleNetworkProtocol.asm | 0 .../EX25/Simple Network Protocol Embedded/Utils.asm | 0 .../UtilsInclude.ASM | 0 .../simplenetworkapplication.asm | 0 .../Legacy/NB1/EX25/Simple_Network_Protocol.DsnWrk | 0 .../EX26/FPGA_51_SimpleNetworkProtocol.Constraint | 0 .../NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpg | 0 .../FPGA_51_SimpleNetworkProtocol.PrjFpgStructure | 0 .../NB1/EX26/FPGA_51_SimpleNetworkProtocol.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX26/Keypad.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX26/Processor.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX26/ReadMe.doc | Bin .../Simple Network Protocol Embedded/CAN_Driver.c | 0 .../Simple Network Protocol Embedded/CAN_Driver.h | 0 .../GeneralDefines.h | 0 .../EX26/Simple Network Protocol Embedded/Keypad.c | 0 .../EX26/Simple Network Protocol Embedded/Keypad.h | 0 .../NB1/EX26/Simple Network Protocol Embedded/LCD.C | 0 .../NB1/EX26/Simple Network Protocol Embedded/LCD.h | 0 .../SimpleNetworkProtocol.PrjEmb | 0 .../SimpleNetworkProtocol.c | 0 .../SimpleNetworkProtocol.h | 0 .../simplenetworkapplication.c | 0 .../Legacy/NB1/EX27/Embedded/Load_From_Flash.PrjEmb | 0 .../NB1/EX27/Embedded/critical_flash_m25px0.c | 0 .../Legacy/NB1/EX27/Embedded/critical_nb_spi.c | 0 .../NB1/EX27/Embedded/critical_proc_tsk3000.c | 0 .../Legacy/NB1/EX27/Embedded/critical_util_timing.c | 0 .../Legacy/NB1/EX27/Embedded/critical_wb_spi.c | 0 .../Legacy/NB1/EX27/Embedded/hardware.h | 0 .../Legacy/NB1/EX27/Embedded/lib_src/clock.c | 0 .../NB1/EX27/Embedded/lib_src/critical_clock.c | 0 .../NB1/EX27/Embedded/lib_src/critical_div32.c | 0 .../NB1/EX27/Embedded/lib_src/critical_div64.c | 0 .../NB1/EX27/Embedded/lib_src/critical_mul64.c | 0 .../Legacy/NB1/EX27/Embedded/lib_src/div32.c | 0 .../Legacy/NB1/EX27/Embedded/lib_src/div64.c | 0 .../Legacy/NB1/EX27/Embedded/lib_src/mul64.c | 0 .../Soft Designs/Legacy/NB1/EX27/Embedded/main.c | 0 .../NB1/EX27/TSK3000_Load_From_Flash.Constraint | 0 .../Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpg | 0 .../EX27/TSK3000_Load_From_Flash.PrjFpgStructure | 0 .../Legacy/NB1/EX27/TSK3000_Load_From_Flash.SchDoc | Bin .../EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpg | 0 ...A_52_Ethernet_Send_Frame_E_MAC_W.PrjFpgStructure | 0 .../EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.SchDoc | Bin .../EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.UCF | 0 .../Legacy/NB1/EX28/SendFrame/SendFrame.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX28/SendFrame/main.c | 0 .../Soft Designs/Legacy/NB1/EX28/wb_decoder.Vhd | 0 .../Legacy/NB1/EX29/NMT_C51_MEMTEST.PRJEMB | 0 .../Soft Designs/Legacy/NB1/EX29/NMT_CPU51.SCHDOC | Bin .../Legacy/NB1/EX29/NMT_FREQ_CNT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_I2C_INT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_Kbd.H | 0 .../Soft Designs/Legacy/NB1/EX29/NMT_LCD.C | 0 .../Soft Designs/Legacy/NB1/EX29/NMT_LCD.H | 0 .../Soft Designs/Legacy/NB1/EX29/NMT_MEMTEST.c | 0 .../Soft Designs/Legacy/NB1/EX29/NMT_PS2_INT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_RS_232.SCHDOC | Bin .../Legacy/NB1/EX29/NMT_SPEAKER_PWM.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_SPI.H | 0 .../Soft Designs/Legacy/NB1/EX29/NMT_SPI_MUX.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_Timer.H | 0 .../Legacy/NB1/EX29/NMT_TopLevel.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_UIO_INT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_VGA.SCHDOC | Bin .../Legacy/NB1/EX29/NMT_XDATA_MUX.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/NMT_Xram.H | 0 .../Legacy/NB1/EX29/NMT_c51_memtest_cstart.c | 0 .../Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPG | 0 .../NB1/EX29/NanoBoardMemoryTester.PRJFPGStructure | 0 .../Soft Designs/Legacy/NB1/EX29/SFR_PORTS.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX29/Uart.h | 0 .../Legacy/NB1/EX29/UserJtagTester.schDOC | Bin .../Soft Designs/Legacy/NB1/EX29/hware.h | 0 .../Soft Designs/Legacy/NB1/EX29/nmt_kbd.c | 0 .../Soft Designs/Legacy/NB1/EX29/nmt_spi.c | 0 .../Soft Designs/Legacy/NB1/EX29/nmt_timer.c | 0 .../Soft Designs/Legacy/NB1/EX29/nmt_xram.c | 0 .../Soft Designs/Legacy/NB1/EX29/uart.c | 0 .../NB1/EX30/ARM7_Sharp_LH79520_LCD.Constraint | 0 .../Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpg | 0 .../NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpgStructure | 0 .../Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.SchDoc | Bin .../Legacy/NB1/EX30/Embedded/LCD.PrjEmb | 0 .../Legacy/NB1/EX30/Embedded/hardware.h | 0 .../Soft Designs/Legacy/NB1/EX30/Embedded/main.c | 0 .../Legacy/NB1/EX31/CAN_Receiver.SchDoc | Bin .../NB1/EX31/CAN_Receiver/CAN_Receiver.PrjEmb | 0 .../Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.asm | 0 .../Legacy/NB1/EX31/FPGA_51_CAN_Receiver.Constraint | 0 .../Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpg | 0 .../NB1/EX31/FPGA_51_CAN_Receiver.PrjFpgStructure | 0 .../Legacy/NB1/EX31/FPGA_51_CAN_Receiver.SchDoc | Bin .../Legacy/NB1/EX32/CAN_Receiver.SchDoc | Bin .../Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.ASM | 0 .../NB1/EX32/CAN_Receiver/CAN_Receiver.PrjEmb | 0 .../NB1/EX32/FPGA_165A_CAN_Receiver.Constraint | 0 .../Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpg | 0 .../NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpgStructure | 0 .../Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX33/CLOCKS.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX33/Decoder.SCHDOC | Bin .../Legacy/NB1/EX33/FPGA_80A_HyperText.Constraint | 0 .../Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpg | 0 .../NB1/EX33/FPGA_80A_HyperText.PrjFpgStructure | 0 .../Legacy/NB1/EX33/FPGA_80A_HyperText.SchDoc | Bin .../Legacy/NB1/EX33/FPGA_80A_HyperText.ht | Bin .../Soft Designs/Legacy/NB1/EX33/MCU_TSK80.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.c | 0 .../Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.h | 0 .../Soft Designs/Legacy/NB1/EX33/Mcu1/Mcu1.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.C | 0 .../Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.h | 0 .../Legacy/NB1/EX33/PortSplitters.SchDoc | Bin .../Legacy/NB1/EX34/Embedded/FlashRecorder.PrjEmb | 0 .../Legacy/NB1/EX34/Embedded/hardware.h | 0 .../Soft Designs/Legacy/NB1/EX34/Embedded/main.c | 0 .../Soft Designs/Legacy/NB1/EX34/Embedded/startup.c | 0 .../Soft Designs/Legacy/NB1/EX34/Embedded/startup.h | 0 .../Soft Designs/Legacy/NB1/EX34/Flash fix.Vhd | 0 .../PowerPC_AMCC_PPC405CR_Flash_Recorder.Constraint | 0 .../PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpg | 0 ...rPC_AMCC_PPC405CR_Flash_Recorder.PrjFpgStructure | 0 .../PowerPC_AMCC_PPC405CR_Flash_Recorder.SchDoc | Bin .../Legacy/NB1/EX35/Embedded/LCD.PrjEmb | 0 .../Legacy/NB1/EX35/Embedded/hardware.h | 0 .../Soft Designs/Legacy/NB1/EX35/Embedded/main.c | 0 .../Soft Designs/Legacy/NB1/EX35/Embedded/startup.c | 0 .../Soft Designs/Legacy/NB1/EX35/Embedded/startup.h | 0 .../NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.Constraint | 0 .../NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpg | 0 .../EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpgStructure | 0 .../NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.SchDoc | Bin .../Legacy/NB1/EX36/Embedded/VGA.PrjEmb | 0 .../Legacy/NB1/EX36/Embedded/hardware.h | 0 .../Soft Designs/Legacy/NB1/EX36/Embedded/main.c | 0 .../Soft Designs/Legacy/NB1/EX36/Embedded/startup.c | 0 .../NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.Constraint | 0 .../NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpg | 0 .../EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpgStructure | 0 .../NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.SchDoc | Bin .../Legacy/NB1/EX37/Embedded/PPC405_LedCounter.Asm | 0 .../NB1/EX37/Embedded/TestInstructions.PrjEmb | 0 .../Legacy/NB1/EX37/Embedded/hardware.asm | 0 .../Legacy/NB1/EX37/PPC405_RAM.Constraint | 0 .../Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpg | 0 .../Legacy/NB1/EX37/PPC405_RAM.PrjFpgStructure | 0 .../Soft Designs/Legacy/NB1/EX37/PPC405_RAM.SCHDOC | Bin .../Legacy/NB1/EX38/FPGA_51_Keyboard.Constraint | 0 .../Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpg | 0 .../NB1/EX38/FPGA_51_Keyboard.PrjFpgStructure | 0 .../Legacy/NB1/EX38/FPGA_51_Keyboard.SchDoc | Bin .../Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.c | 0 .../Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.h | 0 .../NB1/EX38/KeyboardCtrl/KeyboardCtrl.PrjEmb | 0 .../Legacy/NB1/EX38/KeyboardCtrl/keyboardctrl.c | 0 .../Legacy/NB1/EX38/KeyboardCtrl/ntype.h | 0 .../Legacy/NB1/EX38/PortSplitters.SCHDOC | Bin .../Legacy/NB1/EX39/FPGA_52_Keyboard.Constraint | 0 .../Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpg | 0 .../NB1/EX39/FPGA_52_Keyboard.PrjFpgStructure | 0 .../Legacy/NB1/EX39/FPGA_52_Keyboard.SchDoc | Bin .../Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.c | 0 .../Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.h | 0 .../NB1/EX39/KeyboardCtrl/KeyboardCtrl.PrjEmb | 0 .../Legacy/NB1/EX39/KeyboardCtrl/keyboardctrl.c | 0 .../Legacy/NB1/EX39/KeyboardCtrl/ntype.h | 0 .../Legacy/NB1/EX39/PortSplitters.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX39/myconstr.ucf | 0 .../Soft Designs/Legacy/NB1/EX39/short_int.VHDL | 0 .../Soft Designs/Legacy/NB1/EX40/CLOCKS.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX40/Decoder.SCHDOC | Bin .../Legacy/NB1/EX40/FPGA_80A_SerialO.Constraint | 0 .../Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpg | 0 .../NB1/EX40/FPGA_80A_SerialO.PrjFpgStructure | 0 .../Legacy/NB1/EX40/FPGA_80A_SerialO.SchDoc | Bin .../Legacy/NB1/EX40/FPGA_80A_SerialO.ht | Bin .../Soft Designs/Legacy/NB1/EX40/MCU_TSK80.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.c | 0 .../Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.h | 0 .../Soft Designs/Legacy/NB1/EX40/Mcu1/Mcu1.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.C | 0 .../Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.h | 0 .../Legacy/NB1/EX40/PortSplitters.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX40/Test_file/sent.txt | 0 .../Soft Designs/Legacy/NB1/EX41/Divider.schdoc | Bin .../Legacy/NB1/EX41/FPGA_165B_StopWatch.Constraint | 0 .../Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpg | 0 .../NB1/EX41/FPGA_165B_StopWatch.PrjFpgStructure | 0 .../Legacy/NB1/EX41/FPGA_165B_StopWatch.SchDoc | Bin .../Legacy/NB1/EX41/StopWatch/StopWatch.PrjEmb | 0 .../Legacy/NB1/EX41/StopWatch/StopWatch.asm | 0 .../Soft Designs/Legacy/NB1/EX41/Stopwatch.DsnWrk | 0 .../Legacy/NB1/EX42/Display_Controller.SchDoc | Bin .../Legacy/NB1/EX42/FPGA_51_VIDEO.Constraint | 0 .../Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpg | 0 .../Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpgStructure | 0 .../Legacy/NB1/EX42/FPGA_51_VIDEO.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX42/MCU.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.c | 0 .../Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.h | 0 .../Soft Designs/Legacy/NB1/EX42/MCU/MCU.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX42/MCU/ntype.h | 0 .../Soft Designs/Legacy/NB1/EX42/MCU/videotest01.c | 0 .../Soft Designs/Legacy/NB1/EX42/RAM_Controll.Vhd | 0 .../Legacy/NB1/EX43/AudioCodec_Hardware.Constraint | 0 .../Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpg | 0 .../NB1/EX43/AudioCodec_Hardware.PrjFpgStructure | 0 .../Legacy/NB1/EX43/AudioCodec_Hardware.schdoc | Bin .../Legacy/NB1/EX43/DivideBy5_DC50.SCHDOC | Bin .../Legacy/NB1/EX43/LogicAnalyser.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX43/SinTable.Hex | 0 .../Soft Designs/Legacy/NB1/EX44/AddOffsets.SCHDOC | Bin .../Legacy/NB1/EX44/AppregiatorManager.SCHDOC | Bin .../NB1/EX44/AudioCodec_MusicMaker.Constraint | 0 .../Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpg | 0 .../NB1/EX44/AudioCodec_Polyphonic.PrjFpgStructure | 0 .../Legacy/NB1/EX44/AudioCodec_Polyphonic.schdoc | Bin .../Legacy/NB1/EX44/BaseFrequencyConstants.SCHDOC | Bin .../Legacy/NB1/EX44/ChannelMixer.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX44/ChordMaker.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX44/ChordlMixer.SCHDOC | Bin .../Legacy/NB1/EX44/InstrumentScript.PAS | 0 .../Legacy/NB1/EX44/InstrumentScript.dfm | 0 .../Legacy/NB1/EX44/LogicAnalyser.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX44/Music1.xls | Bin .../Legacy/NB1/EX44/PitchToAddress.VHDL | 0 .../Soft Designs/Legacy/NB1/EX44/ScaleMaker.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX44/ScalePattern.VHD | 0 .../Soft Designs/Legacy/NB1/EX44/SineWave.VHD | 0 .../Soft Designs/Legacy/NB1/EX44/Voice.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX44/Voices_All.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX45/Divider.schdoc | Bin .../NB1/EX45/KeypadScanner/KeyPadScanner.PRJCOR | 0 .../NB1/EX45/KeypadScanner/KeyPadScanner.SchDoc | Bin .../KeypadScanner/KeypadScanner.PRJCORStructure | 0 .../NB1/EX45/KeypadScanner/KeypadScanner.SCHLIB | Bin .../KeypadScanner_Altera_Cyclone.Constraint | 0 .../KeypadScanner_Xilinx_Spartan2E.Constraint | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/AND4S.VQM | 0 .../KeypadScanner/Out/Altera Cyclone/CB2CEB.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/CDIV4.VQM | 0 .../KeypadScanner/Out/Altera Cyclone/CDIV64.VQM | 0 .../KeypadScanner/Out/Altera Cyclone/D2_4EB.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/E4_2B.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/FD.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/FD4CB.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/FDC.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/INV.VQM | 0 .../EX45/KeypadScanner/Out/Altera Cyclone/INV4B.VQM | 0 .../Out/Altera Cyclone/KeyPadScanner.VHD | 0 .../Out/Altera Cyclone/KeypadScanner.mpf | 0 .../Out/Altera Cyclone/KeypadScanner_MacroFiles.tcl | 0 .../Altera Cyclone/KeypadScanner_MacroSettings.tcl | 0 .../Out/Altera Cyclone/KeypadScanner_Synth | 0 .../KeypadScanner/Out/Altera Cyclone/NAND4B.VQM | 0 .../Out/Altera Cyclone/Status Report.Txt | 0 .../Out/Altera Cyclone/keypadscanner.edn | 0 .../Out/Altera Cyclone/keypadscanner.mof | 0 .../Out/Altera Cyclone/keypadscannerSynthesis.mak | 0 .../Altera Cyclone/keypadscanner_constraints.tcl | 0 .../KeypadScanner/Out/Xilinx Spartan2E/AND4S.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/CB2CEB.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/CDIV4.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/CDIV64.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/D2_4EB.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/E4_2B.EDN | 0 .../EX45/KeypadScanner/Out/Xilinx Spartan2E/FD.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/FD4CB.EDN | 0 .../EX45/KeypadScanner/Out/Xilinx Spartan2E/FDC.EDN | 0 .../EX45/KeypadScanner/Out/Xilinx Spartan2E/INV.EDN | 0 .../KeypadScanner/Out/Xilinx Spartan2E/INV4B.EDN | 0 .../Out/Xilinx Spartan2E/KeyPadScanner.VHD | 0 .../Out/Xilinx Spartan2E/KeypadScanner.mpf | 0 .../Out/Xilinx Spartan2E/KeypadScanner_Synth | 0 .../KeypadScanner/Out/Xilinx Spartan2E/NAND4B.EDN | 0 .../Out/Xilinx Spartan2E/Status Report.Txt | 0 .../Out/Xilinx Spartan2E/keypadscanner.edn | 0 .../Out/Xilinx Spartan2E/keypadscanner.mof | 0 .../Out/Xilinx Spartan2E/keypadscannerSynthesis.mak | 0 .../Soft Designs/Legacy/NB1/EX45/LCD/LCD.C | 0 .../Soft Designs/Legacy/NB1/EX45/LCD/LCD.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX45/LCD/lcd_cstart.c | 0 .../Legacy/NB1/EX45/LCD_Keypad.Constraint | 0 .../Soft Designs/Legacy/NB1/EX45/LCD_Keypad.DSNWRK | 0 .../Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPG | 0 .../Legacy/NB1/EX45/LCD_Keypad.PRJFPGStructure | 0 .../Soft Designs/Legacy/NB1/EX45/LCD_Keypad.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX45/TSK51.SchDoc | Bin .../NB1/EX46/Accessories/NBT_JTAG_LOOP_CABLE.SCHDOC | Bin .../NB1/EX46/Accessories/NBT_RS232_TESTPLUG.SchDoc | Bin .../NB1/EX46/Accessories/NBT_RTC_ADDON.SchDoc | Bin .../Accessories/NanoBoardTesterAccessories.PrjPCB | 0 .../NanoBoardTesterAccessories.PrjPCBStructure | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_ADDA.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_ADDA.H | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.c | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.h | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_C51.PRJEMB | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_CPU51.SCHDOC | Bin .../Legacy/NB1/EX46/NBT_FREQ_CNT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_I2C_INT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_I2c.c | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_I2c.h | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_Kbd.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_Kbd.H | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_LCD.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_LCD.H | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_Main.c | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_PS2.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_PS2.H | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_PS2_INT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_RS_232.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_RTC.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_RTC.H | 0 .../Legacy/NB1/EX46/NBT_SPEAKER_PWM.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_SPI.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_SPI.H | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_SPI_MUX.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_Timer.C | 0 .../Soft Designs/Legacy/NB1/EX46/NBT_Timer.H | 0 .../Legacy/NB1/EX46/NBT_TopLevel.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_UIO_INT.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/NBT_VGA.SCHDOC | Bin .../Legacy/NB1/EX46/NBT_XDATA_MUX.SCHDOC | Bin .../Legacy/NB1/EX46/NanoBoardTester.PRJFPG | 0 .../Legacy/NB1/EX46/NanoBoardTester.PRJFPGStructure | 0 .../Soft Designs/Legacy/NB1/EX46/SFR_PORTS.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX46/Strio.H | 0 .../Soft Designs/Legacy/NB1/EX46/Uart.c | 0 .../Soft Designs/Legacy/NB1/EX46/Uart.h | 0 .../Soft Designs/Legacy/NB1/EX46/hware.h | 0 .../Soft Designs/Legacy/NB1/EX46/nbt_c51_cstart.c | 0 .../Soft Designs/Legacy/NB1/EX46/strio.c | 0 .../Soft Designs/Legacy/NB1/EX47/AddTrans.Vhd | 0 .../Legacy/NB1/EX47/Firmware/MAX1617A.c | 0 .../Legacy/NB1/EX47/Firmware/MAX1617A.h | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.c | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.h | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.c | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.h | 0 .../Legacy/NB1/EX47/Firmware/OMT_MemTest.c | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.c | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.h | 0 .../Legacy/NB1/EX47/Firmware/OMT_Timer.c | 0 .../Legacy/NB1/EX47/Firmware/OMT_Timer.h | 0 .../Legacy/NB1/EX47/Firmware/OMT_xRam.c | 0 .../Legacy/NB1/EX47/Firmware/OMT_xRam.h | 0 .../NB1/EX47/Firmware/OnBoardMemoryTester.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/Uart.c | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/Uart.h | 0 .../Legacy/NB1/EX47/Firmware/VIIMT_I2c.h | 0 .../Legacy/NB1/EX47/Firmware/VIIMT_i2c.c | 0 .../Soft Designs/Legacy/NB1/EX47/Firmware/hware.h | 0 .../Soft Designs/Legacy/NB1/EX47/J3x8_1x19.Vhd | 0 .../Soft Designs/Legacy/NB1/EX47/OMT_Cpu51.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX47/OMT_I2C_Int.SchDoc | Bin .../Legacy/NB1/EX47/OMT_SFR_Ports.SchDoc | Bin .../Legacy/NB1/EX47/OMT_Speaker_Pwm.SchDoc | Bin .../Legacy/NB1/EX47/OnboardMemoryTester.PRJFPG | 0 .../NB1/EX47/OnboardMemoryTester.PRJFPGStructure | 0 .../Legacy/NB1/EX47/OnboardMemoryTester.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX48/AudioDriver.schDOC | Bin .../Soft Designs/Legacy/NB1/EX48/DIV2_8B.SCHDOC | Bin .../Legacy/NB1/EX48/EvaluationCircuit.SCHDOC | Bin .../Soft Designs/Legacy/NB1/EX48/Main.SchDoc | Bin .../Legacy/NB1/EX48/TBarLedWindow.schDOC | Bin .../Soft Designs/Legacy/NB1/EX48/TControl.schDOC | Bin .../Legacy/NB1/EX48/TControlWindow.schDOC | Bin .../Soft Designs/Legacy/NB1/EX48/TDesktop.schDoc | Bin .../Legacy/NB1/EX48/TDigitalClock.schDoc | Bin .../Soft Designs/Legacy/NB1/EX48/TDisplay.SchDoc | Bin .../Legacy/NB1/EX48/TFillChecker.schDoc | Bin .../Soft Designs/Legacy/NB1/EX48/TFillNoise.schDoc | Bin .../Soft Designs/Legacy/NB1/EX48/TFillWindow.schDOC | Bin .../Soft Designs/Legacy/NB1/EX48/TKeyboard.schDoc | Bin .../Legacy/NB1/EX48/TLayerManager.schDoc | Bin .../Legacy/NB1/EX48/TLogicWindow.schDOC | Bin .../Soft Designs/Legacy/NB1/EX48/TMouse.schDoc | Bin .../Legacy/NB1/EX48/TMouseCursor.schDoc | Bin .../Soft Designs/Legacy/NB1/EX48/TMouseEvent.schDoc | Bin .../Legacy/NB1/EX48/TNoiseWindow.schDOC | Bin .../Legacy/NB1/EX48/TPaletteWindow.schDOC | Bin .../Legacy/NB1/EX48/TPriiorityEncoder.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX48/TRange.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX48/TRange.VHD | 0 .../Soft Designs/Legacy/NB1/EX48/TSynth.schDoc | Bin .../Legacy/NB1/EX48/TSystemTimers.schDoc | Bin .../Legacy/NB1/EX48/TTraceWindow.schDOC | Bin .../Soft Designs/Legacy/NB1/EX48/TWindow.schDoc | Bin .../Legacy/NB1/EX48/VideoWindows.Constraint | 0 .../Legacy/NB1/EX48/VideoWindows.PrjFpg | 0 .../Legacy/NB1/EX48/VideoWindows.PrjFpgStructure | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/Hware.h | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.c | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.h | 0 .../Legacy/NB1/EX49/Firmware/Rocket_IO_Test.PrjEmb | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/SPI.c | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/SPI.h | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/Strio.c | 0 .../Soft Designs/Legacy/NB1/EX49/Firmware/Strio.h | 0 .../Legacy/NB1/EX49/Firmware/rocket_io_test.c | 0 .../Soft Designs/Legacy/NB1/EX49/Mem_Int_8_16.Vhd | 0 .../Soft Designs/Legacy/NB1/EX49/NBT_CPU.SchDoc | Bin .../NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.Constraint | 0 .../NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpg | 0 .../NBT_Virtex_II_Pro_Rocket_IO.PrjFpgStructure | 0 .../NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.SchDoc | Bin .../Soft Designs/Legacy/NB1/EX49/RIO_Common.Vhd | 0 .../Soft Designs/Legacy/NB1/EX49/Receiver.Vhd | 0 .../Soft Designs/Legacy/NB1/EX49/TX_Data_Gen.Vhd | 0 .../Soft Designs/Legacy/NB1/NB1 Testing.DsnWrk | 0 .../Soft Designs/Memory/NB2 Cached Sdram/Altera.tcl | 0 .../Memory/NB2 Cached Sdram/CPU_SYSTEM.Harness | 0 .../Memory/NB2 Cached Sdram/CPU_SYSTEM.OpenBus | 0 .../DB30_Cached_SDRAM_Shared_Bus.Harness | 0 .../DB30_Cached_SDRAM_Shared_Bus.PrjFpg | 0 .../DB30_Cached_SDRAM_Shared_Bus.PrjFpgStructure | 0 .../DB30_Cached_SDRAM_Shared_Bus.SchDoc | Bin .../DB31_Cached_SDRAM_Shared_Bus.Harness | 0 .../DB31_Cached_SDRAM_Shared_Bus.PrjFpg | 0 .../DB31_Cached_SDRAM_Shared_Bus.PrjFpgStructure | 0 .../DB31_Cached_SDRAM_Shared_Bus.SchDoc | Bin .../Embedded/SdramBandwidthTest.PrjEmb | 0 .../NB2 Cached Sdram/Embedded/System.SwPlatform | 0 .../Memory/NB2 Cached Sdram/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../Memory/NB2 Cached Sdram/System.Constraint | 0 .../VendorClocking/Altera/AlteraPll.vhd | 0 .../VendorClocking/Xilinx/DB30_BoardDeskew.vhd | 0 .../VendorClocking/Xilinx/DB30_MainClock.vhd | 0 .../Clocking/NB3000AL/SdramClocking.vhd | 0 .../Clocking/NB3000XN/MainClock.vhd | 0 .../Clocking/NB3000XN/SdramBoardDeskew.vhd | 0 .../Embedded/SdramBandwidthTest.PrjEmb | 0 .../NB3000 Cached Sdram/Embedded/System.SwPlatform | 0 .../Memory/NB3000 Cached Sdram/Embedded/main.c | 0 .../NB3000 Cached Sdram/Embedded/swplatform.c | 0 .../NB3000 Cached Sdram/Embedded/swplatform.h | 0 .../NB3000 Cached Sdram/NB3000AL_System.Constraint | 0 .../NB3000 Cached Sdram/NB3000XN_System.Constraint | 0 .../NB3000_AL_Cached_Sdram.Harness | 0 .../NB3000_AL_Cached_Sdram.PrjFpg | 0 .../NB3000_AL_Cached_Sdram.PrjFpgStructure | 0 .../NB3000_AL_Cached_Sdram.SchDoc | Bin .../NB3000_Cached_Sdram_System.Harness | 0 .../NB3000_Cached_Sdram_System.OpenBus | 0 .../NB3000_XN_Cached_Sdram.Harness | 0 .../NB3000_XN_Cached_Sdram.PrjFpg | 0 .../NB3000_XN_Cached_Sdram.PrjFpgStructure | 0 .../NB3000_XN_Cached_Sdram.SchDoc | Bin .../Mobile/GPS_NMEA/Embedded/GPS_NMEA.SwPlatform | 0 .../Mobile/GPS_NMEA/Embedded/gps_nmea.PrjEmb | 0 .../Soft Designs/Mobile/GPS_NMEA/Embedded/main.c | 0 .../Mobile/GPS_NMEA/GPS_NMEA.Constraint | 0 .../Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Harness | 0 .../Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpg | 0 .../Mobile/GPS_NMEA/GPS_NMEA.PrjFpgStructure | 0 .../Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.SchDoc | Bin .../Mobile/GPS_NMEA/GPS_NMEA_System.Harness | 0 .../Mobile/GPS_NMEA/GPS_NMEA_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../Mobile/NB3000 PPP/Embedded/PPP.SwPlatform | 0 .../Soft Designs/Mobile/NB3000 PPP/Embedded/init.c | 0 .../Soft Designs/Mobile/NB3000 PPP/Embedded/main.c | 0 .../Mobile/NB3000 PPP/Embedded/ping/ping.c | 0 .../Mobile/NB3000 PPP/Embedded/ping/ping.h | 0 .../Mobile/NB3000 PPP/Embedded/ppp.PrjEmb | 0 .../NB3000XN_04_PB15_04_BoardMapping.Constraint | 0 .../Soft Designs/Mobile/NB3000 PPP/PPP.Constraint | 0 .../Soft Designs/Mobile/NB3000 PPP/PPP.Harness | 0 .../Mobile/NB3000 PPP/PPP_System.Harness | 0 .../Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpg | 0 .../Mobile/NB3000 PPP/ppp.PrjFpgStructure | 0 .../Soft Designs/Mobile/NB3000 PPP/ppp.SchDoc | Bin .../Mobile/NB3000 PPP/ppp_system.OpenBus | 0 .../Soft Designs/Mobile/SMS/Embedded/SMS.PrjEmb | 0 .../Soft Designs/Mobile/SMS/Embedded/SMS.SwPlatform | 0 .../Soft Designs/Mobile/SMS/Embedded/main.c | 0 .../SMS/NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../SMS/NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Soft Designs/Mobile/SMS/SMS.Constraint | 0 .../Soft Designs/Mobile/SMS/SMS.Harness | 0 .../altium_crap}/Soft Designs/Mobile/SMS/SMS.PrjFpg | 0 .../Soft Designs/Mobile/SMS/SMS.PrjFpgStructure | 0 .../altium_crap}/Soft Designs/Mobile/SMS/SMS.SchDoc | Bin .../Soft Designs/Mobile/SMS/SMS_System.Harness | 0 .../Soft Designs/Mobile/SMS/SMS_System.OpenBus | 0 .../BandwidthTest.Constraint | 0 .../Bandwidth Test Nios II e/BandwidthTest.Harness | 0 .../Bandwidth Test Nios II e/BandwidthTest.PrjFpg | 0 .../BandwidthTest.PrjFpgStructure | 0 .../Bandwidth Test Nios II e/BandwidthTest.SchDoc | Bin .../Bandwidth Test Nios II e/BandwidthTest.tcl | 0 .../BandwidthTest_System.Harness | 0 .../BandwidthTest_System.OpenBus | 0 .../Embedded/BandwidthTest.PrjEmb | 0 .../Embedded/BandwidthTest.SwPlatform | 0 .../Bandwidth Test Nios II e/Embedded/alt_bench.c | 0 .../Bandwidth Test Nios II e/Embedded/alt_bench.h | 0 .../Embedded/lwipopts_user.h | 0 .../Bandwidth Test Nios II e/Embedded/main.c | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../BandwidthTest.Constraint | 0 .../Bandwidth Test Nios II f/BandwidthTest.Harness | 0 .../Bandwidth Test Nios II f/BandwidthTest.PrjFpg | 0 .../BandwidthTest.PrjFpgStructure | 0 .../Bandwidth Test Nios II f/BandwidthTest.SchDoc | Bin .../Bandwidth Test Nios II f/BandwidthTest.tcl | 0 .../BandwidthTest_System.Harness | 0 .../BandwidthTest_System.OpenBus | 0 .../Embedded/BandwidthTest.PrjEmb | 0 .../Embedded/BandwidthTest.SwPlatform | 0 .../Bandwidth Test Nios II f/Embedded/alt_bench.c | 0 .../Bandwidth Test Nios II f/Embedded/alt_bench.h | 0 .../Embedded/lwipopts_user.h | 0 .../Bandwidth Test Nios II f/Embedded/main.c | 0 .../Bandwidth Test Nios II f/Embedded/swplatform.c | 0 .../Bandwidth Test Nios II f/Embedded/swplatform.h | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../BandwidthTest.Constraint | 0 .../Bandwidth Test TSK3000A/BandwidthTest.Harness | 0 .../Bandwidth Test TSK3000A/BandwidthTest.PrjFpg | 0 .../BandwidthTest.PrjFpgStructure | 0 .../Bandwidth Test TSK3000A/BandwidthTest.SchDoc | Bin .../Bandwidth Test TSK3000A/BandwidthTest.tcl | 0 .../BandwidthTest_System.Harness | 0 .../BandwidthTest_System.OpenBus | 0 .../Embedded/BandwidthTest.PrjEmb | 0 .../Embedded/BandwidthTest.SwPlatform | 0 .../Bandwidth Test TSK3000A/Embedded/alt_bench.c | 0 .../Bandwidth Test TSK3000A/Embedded/alt_bench.h | 0 .../Embedded/lwipopts_user.h | 0 .../Bandwidth Test TSK3000A/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Networking/DhcpDns/DhcpDns.Constraint | 0 .../Soft Designs/Networking/DhcpDns/DhcpDns.PrjFpg | 0 .../Soft Designs/Networking/DhcpDns/DhcpDns.SchDoc | Bin .../Networking/DhcpDns/DhcpDns_System.OpenBus | 0 .../Networking/DhcpDns/Embedded/DhcpDns.PrjEmb | 0 .../Networking/DhcpDns/Embedded/DhcpDns.SwPlatform | 0 .../Networking/DhcpDns/Embedded/dns_client.c | 0 .../Soft Designs/Networking/DhcpDns/Embedded/leds.h | 0 .../Soft Designs/Networking/DhcpDns/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Networking/Emac32/Emac32_Ping.Constraint | 0 .../Networking/Emac32/Emac32_Ping.Harness | 0 .../Networking/Emac32/Emac32_Ping.PrjFpg | 0 .../Networking/Emac32/Emac32_Ping.PrjFpgStructure | 0 .../Networking/Emac32/Emac32_Ping.SchDoc | Bin .../Soft Designs/Networking/Emac32/Emac32_Ping.tcl | 0 .../Networking/Emac32/Emac32_Ping_System.Harness | 0 .../Networking/Emac32/Emac32_Ping_System.OpenBus | 0 .../Networking/Emac32/Embedded/Emac32_Ping.PrjEmb | 0 .../Emac32/Embedded/Emac32_Ping.SwPlatform | 0 .../Soft Designs/Networking/Emac32/Embedded/main.c | 0 .../Networking/Emac32/Embedded/pingstack.c | 0 .../Networking/Emac32/Embedded/pingstack.h | 0 .../Networking/Emac32/Embedded/util_endian.h | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Ethernet/Embedded/Ethernet_Networking.PrjEmb | 0 .../Embedded/Ethernet_Networking.SwPlatform | 0 .../Networking/Ethernet/Embedded/main.c | 0 .../Networking/Ethernet/Embedded/pingstack.c | 0 .../Networking/Ethernet/Embedded/pingstack.h | 0 .../Ethernet/Ethernet_Networking.Constraint | 0 .../Networking/Ethernet/Ethernet_Networking.Harness | 0 .../Networking/Ethernet/Ethernet_Networking.PrjFpg | 0 .../Ethernet/Ethernet_Networking.PrjFpgStructure | 0 .../Networking/Ethernet/Ethernet_Networking.SchDoc | Bin .../Networking/Ethernet/Ethernet_Networking.tcl | 0 .../Ethernet/Ethernet_Networking_System.Harness | 0 .../Ethernet/Ethernet_Networking_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Embedded/NB3000_Unique_MAC.PrjEmb | 0 .../Embedded/NB3000_Unique_MAC.SwPlatform | 0 .../Networking/NB3000 Unique MAC/Embedded/main.c | 0 .../NB3000 Unique MAC/NB3000_Unique_MAC.Constraint | 0 .../NB3000 Unique MAC/NB3000_Unique_MAC.Harness | 0 .../NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpg | 0 .../NB3000_Unique_MAC.PrjFpgStructure | 0 .../NB3000 Unique MAC/NB3000_Unique_MAC.SchDoc | Bin .../NB3000_Unique_MAC_System.Harness | 0 .../NB3000_Unique_MAC_System.OpenBus | 0 .../TCP IP Netconn/Embedded/TCP_IP_Netconn.PrjEmb | 0 .../Embedded/TCP_IP_Networking.SwPlatform | 0 .../Networking/TCP IP Netconn/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../TCP IP Netconn/TCP_IP_Networking.Constraint | 0 .../TCP IP Netconn/TCP_IP_Networking.Harness | 0 .../TCP IP Netconn/TCP_IP_Networking.PrjFpg | 0 .../TCP_IP_Networking.PrjFpgStructure | 0 .../TCP IP Netconn/TCP_IP_Networking.SchDoc | Bin .../Networking/TCP IP Netconn/TCP_IP_Networking.tcl | 0 .../TCP IP Netconn/TCP_IP_Networking_System.Harness | 0 .../TCP IP Netconn/TCP_IP_Networking_System.OpenBus | 0 .../Embedded/TCP_IP_Networking.SwPlatform | 0 .../TCP IP Sockets/Embedded/TCP_IP_Sockets.PrjEmb | 0 .../Networking/TCP IP Sockets/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../TCP IP Sockets/TCP_IP_Networking.Constraint | 0 .../TCP IP Sockets/TCP_IP_Networking.Harness | 0 .../TCP IP Sockets/TCP_IP_Networking.PrjFpg | 0 .../TCP_IP_Networking.PrjFpgStructure | 0 .../TCP IP Sockets/TCP_IP_Networking.SchDoc | Bin .../Networking/TCP IP Sockets/TCP_IP_Networking.tcl | 0 .../TCP IP Sockets/TCP_IP_Networking_System.Harness | 0 .../TCP IP Sockets/TCP_IP_Networking_System.OpenBus | 0 .../USB_WIFI_PING/Embedded/USB_WIFI_PING.PrjEmb | 0 .../USB_WIFI_PING/Embedded/USB_WIFI_PING.SwPlatform | 0 .../Networking/USB_WIFI_PING/Embedded/main.c | 0 .../Networking/USB_WIFI_PING/Timing.Constraint | 0 .../Networking/USB_WIFI_PING/USB_WIFI_PING.Harness | 0 .../Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpg | 0 .../USB_WIFI_PING/USB_WIFI_PING.PrjFpgStructure | 0 .../Networking/USB_WIFI_PING/USB_WIFI_PING.SchDoc | Bin .../USB_WIFI_PING/USB_WIFI_PING_System.Harness | 0 .../USB_WIFI_PING/USB_WIFI_PING_System.OpenBus | 0 .../ARM7_Sharp_LH79520_Memory.Constraint | 0 .../ARM7_Sharp_LH79520_Memory.PrjFpg | 0 .../ARM7_Sharp_LH79520_Memory.PrjFpgStructure | 0 .../ARM7_Sharp_LH79520_Memory.SchDoc | Bin .../Embedded/ARM7_Sharp_LH79520_Memory.PrjEmb | 0 .../Embedded/arm7_util_timing.c | 0 .../ARM7 Sharp LH79520 Memory/Embedded/clock_arm7.c | 0 .../ARM7 Sharp LH79520 Memory/Embedded/hardware.h | 0 .../ARM7 Sharp LH79520 Memory/Embedded/main.c | 0 .../Embedded/proc_bluestreak_arm7_startup.c | 0 .../Embedded/proc_bluestreak_arm7_startup.h | 0 .../Embedded/util_timing.h | 0 .../Actel_CoreMP7_UART.Constraint | 0 .../Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpg | 0 .../Actel_CoreMP7_UART.PrjFpgStructure | 0 .../Actel_CoreMP7_UART/Actel_CoreMP7_UART.SchDoc | Bin .../Actel_CoreMP7_UART/Actel_CoreMP7_UART.ht | Bin .../Embedded/Actel_CoreMP7_UART.PrjEmb | 0 .../Actel_CoreMP7_UART/Embedded/hardware.h | 0 .../Actel_CoreMP7_UART/Embedded/llpi_common.h | 0 .../Actel_CoreMP7_UART/Embedded/llpi_util_timing.c | 0 .../Actel_CoreMP7_UART/Embedded/llpi_util_timing.h | 0 .../Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.c | 0 .../Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.h | 0 .../Actel_CoreMP7_UART/Embedded/main.c | 0 .../Altera NiosII VGA/Altera_NiosII_VGA.Constraint | 0 .../Altera NiosII VGA/Altera_NiosII_VGA.PrjFpg | 0 .../Altera_NiosII_VGA.PrjFpgStructure | 0 .../Altera NiosII VGA/Altera_NiosII_VGA.SchDoc | Bin .../Embedded/Altera_NiosII_VGA.PrjEmb | 0 .../Altera NiosII VGA/Embedded/courier_new_8.c | 0 .../Altera NiosII VGA/Embedded/courier_new_8.h | 0 .../Altera NiosII VGA/Embedded/hardware.h | 0 .../Altera NiosII VGA/Embedded/io_wb_vga.c | 0 .../Altera NiosII VGA/Embedded/io_wb_vga.h | 0 .../Altera NiosII VGA/Embedded/proc_tsknios2.c | 0 .../Altera NiosII VGA/Embedded/proc_tsknios2.h | 0 .../Altera NiosII VGA/Embedded/util_timing.c | 0 .../Altera NiosII VGA/Embedded/util_timing.h | 0 .../Altera NiosII VGA/Embedded/vga_defs.h | 0 .../Altera NiosII VGA/Embedded/vga_test.c | 0 .../Altera NiosII VGA/Embedded/wb_vga.c | 0 .../Altera NiosII VGA/Embedded/wb_vga.h | 0 .../Altera NiosII VGA/Embedded/wb_vga_defs.h | 0 .../Dual_Processors.Constraint | 0 .../Dual_Processors.PrjFpg | 0 .../Dual_Processors.PrjFpgStructure | 0 .../Dual_Processors.SchDoc | Bin .../Embedded/PPC405CR.Asm | 0 .../Embedded/PPC405CR.PrjEmb | 0 .../Embedded/PPC405CR_Constants.Asm | 0 .../Embedded/TSK3000.Asm | 0 .../Embedded/TSK3000.PrjEmb | 0 .../Embedded/TSK3000_InstructionTest.Asm | 0 .../TSK3000 Trace/Embedded/TestInstructions.PrjEmb | 0 .../TSK3000 Trace/Embedded/hardware.asm | 0 .../NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../TSK3000 Trace/TSK3000_Trace.Constraint | 0 .../TSK3000 Trace/TSK3000_Trace.PrjFpg | 0 .../TSK3000 Trace/TSK3000_Trace.PrjFpgStructure | 0 .../TSK3000 Trace/TSK3000_Trace.SchDoc | Bin .../Processor Examples/TSK3000 Trace/V_Trace.Vhd | 0 .../FAT File System/Embedded/FAT_File_System.PrjEmb | 0 .../Embedded/FAT_File_System.SwPlatform | 0 .../Storage/FAT File System/Embedded/main.c | 0 .../FAT File System/FAT_File_System.Constraint | 0 .../Storage/FAT File System/FAT_File_System.Harness | 0 .../Storage/FAT File System/FAT_File_System.PrjFpg | 0 .../FAT File System/FAT_File_System.PrjFpgStructure | 0 .../Storage/FAT File System/FAT_File_System.SchDoc | Bin .../FAT File System/FAT_File_System_OB.Harness | 0 .../FAT File System/FAT_File_System_OB.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Embedded/M25Px0_Serial_Flash_Memory.PrjEmb | 0 .../Embedded/M25Px0_Serial_Flash_Memory.SwPlatform | 0 .../M25Px0 Serial Flash Memory/Embedded/main.c | 0 .../M25Px0_Serial_Flash_Memory.Constraint | 0 .../M25Px0_Serial_Flash_Memory.Harness | 0 .../M25Px0_Serial_Flash_Memory.PrjFpg | 0 .../M25Px0_Serial_Flash_Memory.PrjFpgStructure | 0 .../M25Px0_Serial_Flash_Memory.SchDoc | Bin .../M25Px0_Serial_Flash_Memory_System.Harness | 0 .../M25Px0_Serial_Flash_Memory_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Embedded/NB3000_FAT_File_System.PrjEmb | 0 .../Embedded/NB3000_FAT_File_System.SwPlatform | 0 .../Storage/NB3000 FAT File System/Embedded/main.c | 0 .../NB3000_FAT_File_System.Constraint | 0 .../NB3000_FAT_File_System.Harness | 0 .../NB3000_FAT_File_System.PrjFpg | 0 .../NB3000_FAT_File_System.PrjFpgStructure | 0 .../NB3000_FAT_File_System.SchDoc | Bin .../NB3000_FAT_File_System_OB.Harness | 0 .../NB3000_FAT_File_System_OB.OpenBus | 0 .../Storage/SDHC Memory Card/Embedded/main.c | 0 .../Embedded/sdhc_memory_card.PrjEmb | 0 .../Embedded/sdhc_memory_card.SwPlatform | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../SDHC Memory Card/sdhc_memory_card.Constraint | 0 .../SDHC Memory Card/sdhc_memory_card.PrjFpg | 0 .../sdhc_memory_card.PrjFpgStructure | 0 .../SDHC Memory Card/sdhc_memory_card.SchDoc | Bin .../sdhc_memory_card_System.OpenBus | 0 .../Embedded/USB_Mass_Storage.PrjEmb | 0 .../Embedded/USB_Mass_Storage.SwPlatform | 0 .../Storage/USB Mass Storage/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../USB Mass Storage/USB_Mass_Storage.Constraint | 0 .../USB Mass Storage/USB_Mass_Storage.Harness | 0 .../USB Mass Storage/USB_Mass_Storage.PrjFpg | 0 .../USB_Mass_Storage.PrjFpgStructure | 0 .../USB Mass Storage/USB_Mass_Storage.SchDoc | Bin .../USB_Mass_Storage_System.Harness | 0 .../USB_Mass_Storage_System.OpenBus | 0 .../Timing/DS1391 RTC/DS1391_RTC.Constraint | 0 .../Timing/DS1391 RTC/DS1391_RTC.Harness | 0 .../Timing/DS1391 RTC/DS1391_RTC.PrjFpg | 0 .../Timing/DS1391 RTC/DS1391_RTC.PrjFpgStructure | 0 .../Timing/DS1391 RTC/DS1391_RTC.schdoc | Bin .../Timing/DS1391 RTC/DS1391_RTC_System.Harness | 0 .../Timing/DS1391 RTC/DS1391_RTC_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Timing/DS1391 RTC/embedded/DS1391_RTC.PrjEmb | 0 .../DS1391 RTC/embedded/DS1391_RTC.SwPlatform | 0 .../Soft Designs/Timing/DS1391 RTC/embedded/main.c | 0 .../ICS307_Clock_Generator.Constraint | 0 .../ICS307_Clock_Generator.Harness | 0 .../ICS307_Clock_Generator.PrjFpg | 0 .../ICS307_Clock_Generator.PrjFpgStructure | 0 .../ICS307_Clock_Generator.SchDoc | Bin .../ICS307_Clock_Generator_System.Harness | 0 .../ICS307_Clock_Generator_System.OpenBus | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Software/ICS307_Clock_Generator.PrjEmb | 0 .../Software/ICS307_Clock_Generator.SwPlatform | 0 .../Timing/ICS307 Clock Generator/Software/main.c | 0 .../NB3000 PCF2123 RTC/PCF2123_RTC.Constraint | 0 .../Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Harness | 0 .../Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpg | 0 .../NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpgStructure | 0 .../Timing/NB3000 PCF2123 RTC/PCF2123_RTC.schdoc | Bin .../NB3000 PCF2123 RTC/PCF2123_RTC_System.Harness | 0 .../NB3000 PCF2123 RTC/PCF2123_RTC_System.OpenBus | 0 .../NB3000 PCF2123 RTC/embedded/PCF2123_RTC.PrjEmb | 0 .../embedded/PCF2123_RTC.SwPlatform | 0 .../Timing/NB3000 PCF2123 RTC/embedded/main.c | 0 .../TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.PrjEmb | 0 .../Embedded/TMR3_Dual_Timer.SwPlatform | 0 .../Timing/TMR3 Dual Timer/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../TMR3 Dual Timer/TMR3_Dual_Timer.Constraint | 0 .../Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Harness | 0 .../Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpg | 0 .../TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpgStructure | 0 .../Timing/TMR3 Dual Timer/TMR3_Dual_Timer.SchDoc | Bin .../TMR3 Dual Timer/TMR3_Dual_Timer_System.Harness | 0 .../TMR3 Dual Timer/TMR3_Dual_Timer_System.OpenBus | 0 .../User Interface/GUI/Embedded/GUI.PrjEmb | 0 .../User Interface/GUI/Embedded/GUI.SwPlatform | 0 .../User Interface/GUI/Embedded/form1.c | 0 .../User Interface/GUI/Embedded/form1.h | 0 .../Soft Designs/User Interface/GUI/Embedded/main.c | 0 .../Soft Designs/User Interface/GUI/GUI.Constraint | 0 .../Soft Designs/User Interface/GUI/GUI.Harness | 0 .../Soft Designs/User Interface/GUI/GUI.PrjFpg | 0 .../User Interface/GUI/GUI.PrjFpgStructure | 0 .../Soft Designs/User Interface/GUI/GUI.SchDoc | Bin .../User Interface/GUI/GUI_System.Harness | 0 .../User Interface/GUI/GUI_System.OpenBus | 0 .../GUI/NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../GUI/NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../User Interface/Keypad/Embedded/Keypad.PrjEmb | 0 .../Keypad/Embedded/Keypad.SwPlatform | 0 .../User Interface/Keypad/Embedded/main.c | 0 .../User Interface/Keypad/Keypad.Constraint | 0 .../User Interface/Keypad/Keypad.Harness | 0 .../User Interface/Keypad/Keypad.PrjFpg | 0 .../User Interface/Keypad/Keypad.PrjFpgStructure | 0 .../User Interface/Keypad/Keypad.SchDoc | Bin .../User Interface/Keypad/Keypad_System.Harness | 0 .../User Interface/Keypad/Keypad_System.OpenBus | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../Emb/AGUI_Custom_Draw.PrjEmb | 0 .../Emb/AGUI_Custom_Draw.SwPlatform | 0 .../NB3000 AGUI Custom Draw/Emb/agui_main.C | 0 .../NB3000 AGUI Custom Draw/Emb/agui_main.h | 0 .../NB3000 AGUI Custom Draw/Emb/base_graphics.c | 0 .../NB3000 AGUI Custom Draw/Emb/base_graphics.h | 0 .../NB3000 AGUI Custom Draw/Emb/form1.agui | 0 .../NB3000 AGUI Custom Draw/Emb/form1.c | 0 .../NB3000 AGUI Custom Draw/Emb/form1.h | 0 .../NB3000 AGUI Custom Draw/Emb/form1_init.C | 0 .../NB3000 AGUI Custom Draw/Emb/main.c | 0 .../NB3000 AGUI Custom Draw/Emb/theme_hue.c | 0 .../NB3000 AGUI Custom Draw/Emb/theme_hue.h | 0 .../NB3000_AGUI_Custom_Draw.Constraint | 0 .../NB3000_AGUI_Custom_Draw.Harness | 0 .../NB3000_AGUI_Custom_Draw.PrjFpg | 0 .../NB3000_AGUI_Custom_Draw.PrjFpgStructure | 0 .../NB3000_AGUI_Custom_Draw.SchDoc | Bin .../NB3000_AGUI_Custom_Draw_System.Harness | 0 .../NB3000_AGUI_Custom_Draw_System.OpenBus | 0 .../User Interface/NB3000 GUI/Embedded/GUI.PrjEmb | 0 .../NB3000 GUI/Embedded/GUI.SwPlatform | 0 .../User Interface/NB3000 GUI/Embedded/form1.c | 0 .../User Interface/NB3000 GUI/Embedded/form1.h | 0 .../User Interface/NB3000 GUI/Embedded/main.c | 0 .../User Interface/NB3000 GUI/GUI.Constraint | 0 .../User Interface/NB3000 GUI/GUI.Harness | 0 .../User Interface/NB3000 GUI/GUI.PrjFpg | 0 .../User Interface/NB3000 GUI/GUI.PrjFpgStructure | 0 .../User Interface/NB3000 GUI/GUI.SchDoc | Bin .../User Interface/NB3000 GUI/GUI_System.Harness | 0 .../User Interface/NB3000 GUI/GUI_System.OpenBus | 0 .../NB3000 Pointer/Embedded/Pointer.PrjEmb | 0 .../NB3000 Pointer/Embedded/Pointer.SwPlatform | 0 .../User Interface/NB3000 Pointer/Embedded/main.c | 0 .../NB3000 Pointer/Pointer.Constraint | 0 .../User Interface/NB3000 Pointer/Pointer.Harness | 0 .../User Interface/NB3000 Pointer/Pointer.PrjFpg | 0 .../NB3000 Pointer/Pointer.PrjFpgStructure | 0 .../User Interface/NB3000 Pointer/Pointer.SchDoc | Bin .../NB3000 Pointer/Pointer_System.Harness | 0 .../NB3000 Pointer/Pointer_System.OpenBus | 0 .../NB3000 Touchscreen/Embedded/Touchscreen.PrjEmb | 0 .../Embedded/Touchscreen.SwPlatform | 0 .../NB3000 Touchscreen/Embedded/main.c | 0 .../NB3000 Touchscreen/Touchscreen.Constraint | 0 .../NB3000 Touchscreen/Touchscreen.Harness | 0 .../NB3000 Touchscreen/Touchscreen.PrjFpg | 0 .../NB3000 Touchscreen/Touchscreen.PrjFpgStructure | 0 .../NB3000 Touchscreen/Touchscreen.SchDoc | Bin .../NB3000 Touchscreen/Touchscreen_System.Harness | 0 .../NB3000 Touchscreen/Touchscreen_System.OpenBus | 0 .../Embedded/USB_Host_Mouse_And_Keyboard.PrjEmb | 0 .../Embedded/USB_Host_Mouse_And_Keyboard.SwPlatform | 0 .../Embedded/main.c | 0 .../USB_Host_Mouse_And_Keyboard.Constraint | 0 .../USB_Host_Mouse_And_Keyboard.Harness | 0 .../USB_Host_Mouse_And_Keyboard.PrjFpg | 0 .../USB_Host_Mouse_And_Keyboard.PrjFpgStructure | 0 .../USB_Host_Mouse_And_Keyboard.SchDoc | Bin .../USB_Host_Mouse_And_Keyboard_System.Harness | 0 .../USB_Host_Mouse_And_Keyboard_System.OpenBus | 0 .../Embedded/PS2_keyboard_mouse.PrjEmb | 0 .../Embedded/PS2_keyboard_mouse.SwPlatform | 0 .../PS2 Keyboard Mouse/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../PS2_Keyboard_Mouse_System.Harness | 0 .../PS2_Keyboard_Mouse_System.OpenBus | 0 .../PS2_keyboard_mouse.Constraint | 0 .../PS2 Keyboard Mouse/PS2_keyboard_mouse.Harness | 0 .../PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpg | 0 .../PS2_keyboard_mouse.PrjFpgStructure | 0 .../PS2 Keyboard Mouse/PS2_keyboard_mouse.SchDoc | Bin .../User Interface/Pointer/Embedded/Pointer.PrjEmb | 0 .../Pointer/Embedded/Pointer.SwPlatform | 0 .../User Interface/Pointer/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../User Interface/Pointer/Pointer.Constraint | 0 .../User Interface/Pointer/Pointer.Harness | 0 .../User Interface/Pointer/Pointer.PrjFpg | 0 .../User Interface/Pointer/Pointer.PrjFpgStructure | 0 .../User Interface/Pointer/Pointer.SchDoc | Bin .../User Interface/Pointer/Pointer_System.Harness | 0 .../User Interface/Pointer/Pointer_System.OpenBus | 0 .../Touchscreen/Embedded/Touchscreen.PrjEmb | 0 .../Touchscreen/Embedded/Touchscreen.SwPlatform | 0 .../User Interface/Touchscreen/Embedded/main.c | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_07_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB40_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB41_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB42_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB43_02_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Touchscreen/Touchscreen.Constraint | 0 .../User Interface/Touchscreen/Touchscreen.Harness | 0 .../User Interface/Touchscreen/Touchscreen.PrjFpg | 0 .../Touchscreen/Touchscreen.PrjFpgStructure | 0 .../User Interface/Touchscreen/Touchscreen.SchDoc | Bin .../Touchscreen/Touchscreen_System.Harness | 0 .../Touchscreen/Touchscreen_System.OpenBus | 0 .../32 bit FPGA Processor Design/BlinkingLED.SchDoc | Bin .../Clock_board.Constraint | 0 .../Embedded/FPGA_Processor_32Bit_LEDs.PrjEmb | 0 .../Embedded/hardware.h | 0 .../32 bit FPGA Processor Design/Embedded/leds1.c | 0 .../FPGA_Processor_32Bit.PrjFpg | 0 .../FPGA_Processor_32Bit.PrjFpgStructure | 0 .../NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../Audio Effects Tutorial/Audio_Effects.PrjFpg | 0 .../Audio_Effects.PrjFpgStructure | 0 .../Audio Effects Tutorial/Effects_OB.OpenBus | 0 .../Audio Effects Tutorial/Effects_Sch.Harness | 0 .../Audio Effects Tutorial/Effects_Sch.SchDoc | Bin .../Embedded/Audio_Effects_Emb.PrjEmb | 0 .../Embedded/Audio_Effects_Emb.SwPlatform | 0 .../Audio Effects Tutorial/Embedded/main.C | 0 .../Audio Effects Tutorial/MyConstraint.Constraint | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../Tutorials/CHC Accumulator/Accumulator.C | 0 .../CHC Accumulator/AccumulatorUI.Instrument | 0 .../CHC Accumulator/CHC_Accumulator.Constraint | 0 .../CHC Accumulator/CHC_Accumulator.PrjFpg | 0 .../CHC Accumulator/CHC_Accumulator.PrjFpgStructure | 0 .../CHC Accumulator/CHC_Accumulator.SchDoc | Bin .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../Tutorials/Creating Components/NPN.mdl | 0 .../Tutorials/Creating Components/NSC_74F08.mdl | 0 .../Creating Components/New Library.LibPkg | 0 .../Creating Components/Out/New Library.IntLib | Bin .../Creating Components/PCB Footprints.PcbLib | Bin .../Creating Components/Schematic Components.SCHLIB | Bin .../Custom_Instrument_Design.PrjFpg | 0 .../Custom_Instrument_Design.PrjFpgStructure | 0 .../Custom_Instrument_Design.SchDoc | Bin .../Custom_Instrument_Example.Instrument | 0 .../ExampleInstrumentIcon.bmp | Bin .../NB2DSK01_07_DB30_04_Mapping.Constraint | 0 .../NB2DSK01_07_DB31_04_Mapping.Constraint | 0 .../NB2DSK01_07_DB32_05_Mapping.Constraint | 0 .../NB2DSK01_08_DB36_01_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB46_02_BoardMapping.Constraint | 0 .../Clock_Divider.SchDoc | Bin .../Getting Started - FPGA Design/Clock_Divider.vhd | 0 .../Simple_Counter.PrjFpg | 0 .../Simple_Counter.PrjFpgStructure | 0 .../Simple_Counter.SchDoc | Bin .../Embedded/PS2_Echo.PrjEmb | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB31_06_BoardMapping.Constraint | 0 .../NB2DSK01_08_DB32_05_BoardMapping.Constraint | 0 .../PS2_Echo.SchDoc | Bin .../PS2_Echo_OB.OpenBus | 0 .../PS2_Echo_system.Constraint | 0 .../PS2_Echo_system.PrjFpg | 0 .../PS2_Echo_system.PrjFpgStructure | 0 .../PS2_Echo_system.zip | Bin .../Discovery Session 1/CB8CEB.EDN | 0 .../Discovery Session 1/COMPM8B.EDN | 0 .../Discovery Session 1/LED_Control.PrjFpg | 0 .../Discovery Session 1/LED_Control.PrjFpgStructure | 0 .../Discovery Session 1/LED_Control.SchDoc | Bin .../Discovery Session 1/PWM8.edn | 0 .../Discovery Session 10/Embedded/SND2LIGHT.PrjEmb | 0 .../Embedded/SND2LIGHT.PrjEmb.cri | Bin .../Embedded/SND2LIGHT.SwPlatform | 0 .../Discovery Session 10/Embedded/devices.h | 0 .../Discovery Session 10/Embedded/generic_devices.h | 0 .../Discovery Session 10/Embedded/led_info.h | 0 .../Discovery Session 10/Embedded/main.c | 0 .../Discovery Session 10/SND2LIGHT.Constraint | 0 .../Discovery Session 10/SND2LIGHT.Harness | 0 .../Discovery Session 10/SND2LIGHT.PrjFpg | 0 .../Discovery Session 10/SND2LIGHT.PrjFpgStructure | 0 .../Discovery Session 10/SND2LIGHT.SchDoc | Bin .../Discovery Session 10/SND2LIGHT_OB.Harness | 0 .../Discovery Session 10/SND2LIGHT_OB.OpenBus | 0 .../Discovery Session 11/Embedded/IIR.c | 0 .../Discovery Session 11/Embedded/IIR.h | 0 .../Discovery Session 11/Embedded/SND2LIGHT.PrjEmb | 0 .../Embedded/SND2LIGHT.PrjEmb.cri | Bin .../Embedded/SND2LIGHT.SwPlatform | 0 .../Discovery Session 11/Embedded/devices.h | 0 .../Discovery Session 11/Embedded/generic_devices.h | 0 .../Discovery Session 11/Embedded/led_info.h | 0 .../Discovery Session 11/Embedded/main.c | 0 .../Discovery Session 11/SND2LIGHT.Constraint | 0 .../Discovery Session 11/SND2LIGHT.Harness | 0 .../Discovery Session 11/SND2LIGHT.PrjFpg | 0 .../Discovery Session 11/SND2LIGHT.PrjFpgStructure | 0 .../Discovery Session 11/SND2LIGHT.SchDoc | Bin .../Discovery Session 11/SND2LIGHT_OB.Harness | 0 .../Discovery Session 11/SND2LIGHT_OB.OpenBus | 0 .../Embedded/Embedded_TFT_TOUCH.PrjEmb | 0 .../Embedded/Embedded_TFT_TOUCH.PrjEmb.cri | Bin .../Discovery Session 12/Embedded/LEDCTRL.Harness | 0 .../Discovery Session 12/Embedded/LEDCTRL.c | 0 .../Discovery Session 12/Embedded/LEDCTRL.h | 0 .../Embedded/TFT_TOUCH.SwPlatform | 0 .../Discovery Session 12/Embedded/devices.h | 0 .../Discovery Session 12/Embedded/generic_devices.h | 0 .../Discovery Session 12/Embedded/main.c | 0 .../Discovery Session 12/Embedded/palette.bmp | Bin .../Discovery Session 12/TFT_TOUCH.Harness | 0 .../Discovery Session 12/TFT_TOUCH.OpenBus | 0 .../Discovery Session 12/TFT_TOUCH.PrjFpg | 0 .../Discovery Session 12/TFT_TOUCH.PrjFpgStructure | 0 .../Discovery Session 12/TFT_TOUCH.SchDoc | Bin .../Discovery Session 12/TFT_TOUCH_OB.Harness | 0 .../Discovery Session 12/TFT_TOUCH_OB.OpenBus | 0 .../Discovery Session 12/TFT_TOUCH_TOP.Harness | 0 .../Embedded/Embedded_TFT_LEDs.PrjEmb | 0 .../Embedded/Embedded_TFT_LEDs.PrjEmb.cri | Bin .../Discovery Session 13/Embedded/LEDCTRL.Harness | 0 .../Discovery Session 13/Embedded/LEDCTRL.c | 0 .../Discovery Session 13/Embedded/LEDCTRL.h | 0 .../Embedded/TFT_LEDs.SwPlatform | 0 .../Discovery Session 13/Embedded/devices.h | 0 .../Discovery Session 13/Embedded/generic_devices.h | 0 .../Discovery Session 13/Embedded/led_info.h | 0 .../Discovery Session 13/Embedded/main.c | 0 .../Discovery Session 13/Embedded/palette.bmp | Bin .../Discovery Session 13/Embedded/rgbcolors.bmp | Bin .../Discovery Session 13/LEDCTRL.Harness | 0 .../Discovery Session 13/LEDCTRL.SchDoc | Bin .../Discovery Session 13/TFT_LEDs.PrjFpg | 0 .../Discovery Session 13/TFT_LEDs.PrjFpgStructure | 0 .../Discovery Session 13/TFT_LEDs_OB.Harness | 0 .../Discovery Session 13/TFT_LEDs_OB.OpenBus | 0 .../Discovery Session 13/TFT_LEDs_TOP.Harness | 0 .../Discovery Session 13/TFT_LEDs_TOP.SchDoc | Bin .../Discovery Session 2/Controller.PrjScr | 0 .../Discovery Session 2/Controller.dfm | 0 .../Discovery Session 2/Controller.pas | 0 .../Discovery Session 2/LED_Control.SchDoc | Bin .../Discovery Session 2/LED_Control_Script.PrjFpg | 0 .../LED_Control_Script.PrjFpgStructure | 0 .../Discovery Session 3/Controller.PrjScr | 0 .../Discovery Session 3/Controller.dfm | 0 .../Discovery Session 3/Controller.pas | 0 .../Discovery Session 3/LED_Control.PrjFpgStructure | 0 .../Discovery Session 3/LED_Control.SchDoc | Bin .../Discovery Session 3/LED_Control_HSV.PrjFpg | 0 .../LED_Control_HSV.PrjFpgStructure | 0 .../LED_Control_Script.PrjFpgStructure | 0 .../NB2DSK01_08_DB30_06_BoardMapping.Constraint | 0 .../Discovery Session 4/Scrolling_LEDs-orig.pas | 0 .../Discovery Session 4/Scrolling_LEDs.PrjFpg | 0 .../Scrolling_LEDs.PrjFpgStructure | 0 .../Discovery Session 4/Scrolling_LEDs.PrjScr | 0 .../Discovery Session 4/Scrolling_LEDs.SchDoc | Bin .../Discovery Session 4/Scrolling_LEDs.dfm | 0 .../Discovery Session 4/Scrolling_LEDs.pas | 0 .../Discovery Session 5/Embedded/devices.h | 0 .../Discovery Session 5/Embedded/generic_devices.h | 0 .../Discovery Session 5/Embedded/led_info.h | 0 .../Discovery Session 5/Embedded/main.c | 0 .../Embedded/uP_KnightRider.PrjEmb | 0 .../Embedded/up_KnightRider.PrjEmb.cri | Bin .../Embedded/up_KnightRider.SwPlatform | 0 .../Discovery Session 5/uP_KnightRider.Harness | 0 .../Discovery Session 5/uP_KnightRider.PrjFpg | 0 .../Discovery Session 5/uP_KnightRider.PrjFpg.cri | Bin .../uP_KnightRider.PrjFpgStructure | 0 .../Discovery Session 5/uP_KnightRider.SchDoc | Bin .../Discovery Session 5/uP_KnightRider_OB.Harness | 0 .../Discovery Session 5/uP_KnightRider_OB.OpenBus | 0 .../Discovery Session 6/Embedded/devices.h | 0 .../Discovery Session 6/Embedded/generic_devices.h | 0 .../Discovery Session 6/Embedded/instruments.h | 0 .../Discovery Session 6/Embedded/led_info.h | 0 .../Discovery Session 6/Embedded/main (orig).c | 0 .../Discovery Session 6/Embedded/main.c | 0 .../Embedded/uP_KnightRider.PrjEmb | 0 .../Embedded/uP_KnightRider.PrjEmb.cri | Bin .../Embedded/uP_KnightRider.SwPlatform | 0 .../Discovery Session 6/uP_KnightRider.Harness | 0 .../Discovery Session 6/uP_KnightRider.PrjFpg | 0 .../Discovery Session 6/uP_KnightRider.PrjFpg.cri | Bin .../uP_KnightRider.PrjFpgStructure | 0 .../Discovery Session 6/uP_KnightRider.SchDoc | Bin .../Discovery Session 6/uP_KnightRider_OB.Harness | 0 .../Discovery Session 6/uP_KnightRider_OB.OpenBus | 0 .../uP_KnightRider_wControl.PrjFpg | 0 .../uP_KnightRider_wControl.PrjFpgStructure | 0 .../Embedded/IrRC_Receiver.PrjEmb | 0 .../Embedded/IrRC_Receiver.PrjEmb.cri | Bin .../Embedded/IrRC_Receiver.SwPlatform | 0 .../Discovery Session 7/Embedded/devices.h | 0 .../Discovery Session 7/Embedded/generic_devices.h | 0 .../Discovery Session 7/Embedded/main.c | 0 .../Discovery Session 7/IrRC_Receiver.Constraint | 0 .../Discovery Session 7/IrRC_Receiver.PrjFpg | 0 .../IrRC_Receiver.PrjFpgStructure | 0 .../Discovery Session 7/IrRC_Receiver.SchDoc | Bin .../IrRC_Receiver_System.OpenBus | 0 .../Discovery Session 8/Embedded/Embedded.PrjEmb | 0 .../Embedded/Embedded.PrjEmb.cri | Bin .../Embedded/Embedded_SWP.SwPlatform | 0 .../Discovery Session 8/Embedded/Main.c | 0 .../Discovery Session 8/Embedded/devices.h | 0 .../Discovery Session 8/Embedded/generic_devices.h | 0 .../Discovery Session 8/Embedded/instruments.h | 0 .../Discovery Session 8/Embedded/led_info.h | 0 .../Discovery Session 8/Top.Harness | 0 .../Discovery Session 8/Top.SchDoc | Bin .../Discovery Session 8/uP_KR.PrjFpgStructure | 0 .../Discovery Session 8/uP_KR_OB.Harness | 0 .../Discovery Session 8/uP_KR_OB.OpenBus | 0 .../Discovery Session 8/uP_KR_wControl.PrjFpg | 0 .../uP_KR_wControl.PrjFpgStructure | 0 .../Discovery Session 8/up_KR_Constraint.Constraint | 0 .../Discovery Session 9/Embedded/Snd2Light.PrjEmb | 0 .../Embedded/Snd2Light.PrjEmb.cri | Bin .../Embedded/Snd2Light.SwPlatform | 0 .../Discovery Session 9/Embedded/devices.h | 0 .../Discovery Session 9/Embedded/generic_devices.h | 0 .../Discovery Session 9/Embedded/led_info.h | 0 .../Discovery Session 9/Embedded/main.c | 0 .../Discovery Session 9/Snd2Light.Constraint | 0 .../Discovery Session 9/Snd2Light.Harness | 0 .../Discovery Session 9/Snd2Light.PrjFpg | 0 .../Discovery Session 9/Snd2Light.PrjFpgStructure | 0 .../Discovery Session 9/Snd2Light.SchDoc | Bin .../Discovery Session 9/Snd2Light_OB.Harness | 0 .../Discovery Session 9/Snd2Light_OB.OpenBus | 0 .../Tutorials/multivibrator_step/board.STEP | 0 .../multivibrator_step/multivibrator_base.STEP | 0 .../multivibrator_step/multivibrator_cover.STEP | 0 .../multivibrator_step/multivibrator_step.PcbDoc | Bin .../16Bit Group Ripple Adder.PrjFpg | 0 .../16Bit Group Ripple Adder.PrjFpgStructure | 0 .../16Bit Group Ripple Adder.SchDoc | Bin .../16Bit Group Ripple Adder/TestBench.vhdtst | 0 .../VHDL Simulation/BCD Counter/BCD.VHD | 0 .../VHDL Simulation/BCD Counter/BCD8.PrjFpg | 0 .../BCD Counter/BCD8.PrjFpgStructure | 0 .../VHDL Simulation/BCD Counter/BCD8.schdoc | Bin .../BCD Counter/SCH Library/BCD.SCHLIB | Bin .../VHDL Simulation/BCD Counter/TestBCD.VHDTST | 0 .../BCD Counter/VHDL Library/BCD_LIB.VHDLIB | 0 .../BCD Counter/VHDL Library/BUFGS.VHD | 0 .../BCD Counter/VHDL Library/PARITY.VHD | 0 .../BCD Counter/VHDL Library/UTILITY.VHD | 0 .../Error Correcting For 7bit Hamming Code.PrjFpg | 0 ...Correcting For 7bit Hamming Code.PrjFpgStructure | 0 .../Error Correcting For 7bit Hamming Code.SchDoc | Bin .../TestBench.vhdtst | 0 .../VHDL Simulation/Frequency Meter/Control.SchDoc | Bin .../Frequency Meter/Four Bit Decimal Counter.SchDoc | Bin .../Frequency Meter/Four Decade BCD Counter.SchDoc | Bin .../Frequency Meter/Frequency Meter TB.VHDTST | 0 .../Frequency Meter/Frequency Meter.PrjFpg | 0 .../Frequency Meter/Frequency Meter.PrjFpgStructure | 0 .../Frequency Meter/Frequency Meter.SchDoc | Bin .../KeyBoard Reader/KeyBrdReader.PrjFpg | 0 .../KeyBoard Reader/KeyBrdReader.PrjFpgStructure | 0 .../KeyBoard Reader/KeyBrdReader.SchDoc | Bin .../KeyBoard Reader/Test_KeyBrdReader.VHDTST | 0 .../Parallel To Serial Converter.PrjFpg | 0 .../Parallel To Serial Converter.PrjFpgStructure | 0 .../Parallel To Serial Converter.SchDoc | Bin .../Parallel To Serial Converter/Testbench.VHDTST | 0 .../Pulse Width Modulation/Pwm.schdoc | Bin .../Pulse Width Modulation/Test_Pwm.VHDTST | 0 .../Pulse Width Modulation/pwm.PrjFpg | 0 .../Pulse Width Modulation/pwm.PrjFpgStructure | 0 .../Serial To Parallel Converter.PrjFpg | 0 .../Serial To Parallel Converter.PrjFpgStructure | 0 .../Serial To Parallel Converter.SchDoc | Bin .../Serial To Parallel Converter/Testbench.VHDTST | 0 .../Test BarLed Window/TBarLedWindow.PRJFPG | 0 .../TBarLedWindow.PRJFPGStructure | 0 .../Test BarLed Window/TBarLedWindow.schDOC | Bin .../Test BarLed Window/TMouseEvent.schDoc | Bin .../VHDL Simulation/Test BarLed Window/TRange.VHD | 0 .../Test BarLed Window/TWindow.schDoc | Bin .../Test BarLed Window/Test_TBarLedWindow.VHDTST | 0 .../Test Control Window/TControl.schDOC | Bin .../Test Control Window/TControlWindow.PrjFpg | 0 .../TControlWindow.PrjFpgStructure | 0 .../Test Control Window/TControlWindow.schDOC | Bin .../Test Control Window/TMouseEvent.schDoc | Bin .../VHDL Simulation/Test Control Window/TRange.VHD | 0 .../Test Control Window/TWindow.schDoc | Bin .../Test Control Window/Test_TControlWindow.VHDTST | 0 .../VHDL Simulation/Test Keyboard/TKeyboard.schDoc | Bin .../Test Keyboard/Test_TKeyboard.VHDTST | 0 .../VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPG | 0 .../Test Keyboard/Tkeyboard.PRJFPGStructure | 0 .../Test Palette Window/TMouseEvent.schDoc | Bin .../Test Palette Window/TPaletteWindow.PrjFpg | 0 .../TPaletteWindow.PrjFpgStructure | 0 .../Test Palette Window/TPaletteWindow.schDOC | Bin .../VHDL Simulation/Test Palette Window/TRange.VHD | 0 .../Test Palette Window/TWindow.schDoc | Bin .../Test Palette Window/Test_TPaletteWindow.VHDTST | 0 4848 files changed, 0 insertions(+), 0 deletions(-) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Discrete.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Cera-Mite Ceramic Axial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Disc Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Tubular Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Electrolytic Radial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Axial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Radial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Snap-In Pins Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Solder Ring Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Tantalum Radial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Axial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Chip Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Radial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Triple-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Axial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Radial-Lead Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/PcbLibraries/Vishay Vitramon Ceramic Dipped Capacitor.PcbLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/SchLibraries/Discretes.SCHLIB (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/VishayCapacitor.DBLib (100%) rename {altium_crap => tests/altium_crap}/CIS/Example DBLib/VishayCapacitor.mdb (100%) rename {altium_crap => tests/altium_crap}/CIS/Example database.mdb (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/555.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/555.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/1N914.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/2N2222A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/2N2222A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Amplified Modulator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Amplified Modulator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Modulator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/Analog Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/Analog Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Amplifier/UA741.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/12VSPDT.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/Analog Relay.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/Analog Relay.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/Analog Relay.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/Analog Relay.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/Out/Analog Relay.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Analog Relay/Out/Analog Relay.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/2N4410.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS00.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS04.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS10.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS20.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS30.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS373.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/74LS90.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/BCD-to-7 Segment Decoder/REDCA.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/2N3906.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/Bandpass Filter.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/Bandpass Filter.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bandpass Filter/UA741.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/1N4002.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/Basic Power Supply.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/Basic Power Supply.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/1N914.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/2N3904.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.RPT (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Boost Converter/Boost Converter.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Boost Converter/Boost Converter.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Boost Converter/Boost Converter.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Boost Converter/Boost Converter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Boost Converter/SIMetrix Devices.IntLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/1N914.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/2N3904.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/2N4393.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/2N3904.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/2N2222A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/3.5795MHZ.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/Crystal Oscillator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/Crystal Oscillator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/NMOS.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Crystal Oscillator/PMOS.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/1N914.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/2N2222A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/Differential Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/Differential Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Differential Amplifier/SUBV.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/Diode Model.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery Test Circuit.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.net (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/SIMPLIS Devices.IntLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Diode Reverse Recovery/sw.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/1N4736.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/2N3904.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/2N3906.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/5TO1CT.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/BRIDGE.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/Filter.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/Filter.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/Filter.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/Filter.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/LF411C.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/Out/Filter.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Filter/Out/Filter.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/FTOV.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.net (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/SIMPLIS Devices.IntLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Full-Bridge Converter/SIMetrix Devices.IntLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/FUSE.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/Fuse.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/Fuse.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/Out/Fuse.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/Out/Fuse.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/fuse.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Fuse/fuse.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/ADDV.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/ATANHV.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/Mathematical Function.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/Mathematical Function.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/Out/Mathematical Function.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/Out/Mathematical Function.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mathematical Function/UNARYV.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/555.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/74LS04.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/74LS112.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/NPN.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/74LS04.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/74LS112.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/NPN.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/4011.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/Oscillator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/Oscillator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/Oscillator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/Oscillator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/Out/Oscillator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Oscillator/Out/Oscillator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Capacitor/Capacitor.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Capacitor/CustomCap.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Diode/Diode.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Diode/Diode.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Diode/Out/Diode.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Diode/Out/Diode.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Global Parameters/Global Params.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Inductor/Inductor.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Inductor/pspiceIND.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/JFET/JFET.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/JFET/JFET.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/JFET/Out/JFET.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/JFET/Out/JFET.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/PSpice switch/SwitchMod.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Resistor/PSpiceResistor.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/Resistor/Resistor.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - POLY/ESRC_POLY.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Table/ESRC_TABLE.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Table/Table.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Value/ESRC_VALUE.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PWL File/Out/PWL File.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PWL File/PWL File.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PWL File/PWL File.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PWL File/PWL File.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/PWL File/pwl1.pwl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/1N914.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/2N2222.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/Out/Peak Detector.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/Out/Peak Detector.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/Peak Detector.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/Peak Detector.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/Peak Detector.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/Peak Detector.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Peak Detector/UA741.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/PLL100k.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/Phase Lock Loop.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Phase Lock Loop/Phase Lock Loop.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Pole-Zero/Simple RC/Simple RC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Potentiometer Sweep/Band-Pass Filter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Potentiometer Sweep/Out/Potentiometer Sweep.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/10TO1.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/18DB10.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/1N4736.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/2N2222A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/Out/Power Supply.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/Out/Power Supply.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/Power Supply.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/Power Supply.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/Power Supply.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Power Supply/Power Supply.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/2N6027.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/LM301A.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/2N2219A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/2N2905A.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/12AX7.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/RIAA Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/RIAA Amplifier/RIAA Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/4093.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.net (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/SIMPLIS Devices.IntLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/SIMetrix Devices.IntLib (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Simple RC Circuit/Simple RC Circuit.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Simple RC Circuit/Simple RC Circuit.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/74LS04.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/74LS08.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/74LS173.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/74LS32.mdl (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/Out/State.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/Out/State.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/State.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/State.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/State.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/State Machine/State.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/2N2646.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/Unijunction Transistor.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Unijunction Transistor/Unijunction Transistor.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/6L6GC.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/6SN7.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/7199P.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/7199T.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.schdoc (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.nsx (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.sdf (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/SQRVCO.ckt (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.cfg (100%) rename {altium_crap => tests/altium_crap}/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.schdoc (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/BOARD 1.pcbdoc (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/BOARD 2.pcbdoc (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/BOARD 3.pcbdoc (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/PCB Auto-Routing.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/Routed BOARD 1.pcbdoc (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/Routed BOARD 2.pcbdoc (100%) rename {altium_crap => tests/altium_crap}/PCB Auto-Routing/Routed BOARD 3.pcbdoc (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/ClientServerInterfacesReport.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/DXPVersion.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/DXPVersionUnit.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/DXP_Scripts.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/ExtractFilesFromIntLibs.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/IntLibSearchDemo.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/OpenADoc.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/ReportIntLibData.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/ReportPCBViews.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/ServerProcessReport.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/DXP/SettingDocumentDirty.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/CheckNameValueUnit.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/FPGASwapIds_Project1.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/General_Scripts.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/HelloWorld.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/HelloWorld.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/HelloWorldDialog.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/HelloWorldDialog.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/IniFileEg.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/MandelBrot.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/MandelBrot.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/Protel.avi (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/ShowModalEg.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/ShowModalEg.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/TextFileConvert.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/TextFileConvert.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/UpdateTime.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/UpdateTime.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/Zipper.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/ZipperForm.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/ZipperForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/input.txt (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/mm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/mm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/sinewave.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/sinewave.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/tictac.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/General/tictac.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutline.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/LayerComboBox.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Count_Connection_Lines.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateAVia.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateComponentOnPCB.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateFootprintInLibrary.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreatePCBObjects.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructContourSetFromPicture.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructRegionsFromContourSet.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/PCBPictureCreator.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/altiumlogo.bmp (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/CreateRules.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Cycle_Pcb_Cursor_Type.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/DeletePCBObjects.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/EmbeddedObjects.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FootprintFinder.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FromTos Generator/CreateInterComponentFromTos.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FromTos Generator/FromTosGenerator.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Customsort.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Hole Size Editor.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/NetObjectAssign.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/CountTracksInComponent.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Count_Pads.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateComponentBodies.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateNets.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IteratePolygons.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateRegions.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/LibraryIterator.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/PCB_Iterators.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Polygons.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Iterators/SpatialIterator.Pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/Layers_Info.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/PCB_Layers.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerPairs.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerStack.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayersOfMechType.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryMechLayers.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryUsedLayers.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/RebuildInternalAndSplitPlanes.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/Toggle_Connect_layer_display.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Layers/ValidateLayerStack.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/LayerComboBox.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/PCBLogoCreator.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/altiumlogo.bmp (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test1.bmp (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test2.bmp (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjects.Pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/PCBObjectsMover.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputGenerator.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputsProject.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Outputs/Violations.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Rules/IterateRules.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Rules/ModifyWidthRules.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB Rules/PCBRules.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfo.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfoReport.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PCB_Scripts.prjscr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PadStackInfo.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PadViaCacheProperties.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/PadViaExamples.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/QueryBoard.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapComponentsUnit.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapOrRotateComponents.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Unroute Net Class.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Undo.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/Common.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/ObjectChecker.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/UniqueObjectChecker.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Values Checker/PCBAPI functions.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesChecker.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/CirWiz.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/CirWiz.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/Clrins1.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/Clrins2.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/ImportWaveforms.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/PlacePCBPolygon.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/PlaceSchPort.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/Process_Scripts.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/PublishToPDFScript.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/Quikcopy.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/RunCommonDialog.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/ShowNetList.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/SimpleExample.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/pcbcolor.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/Processes/pcblayer.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/AddModelToComponentScript.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/DesignSettings.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/ImportUtils.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/Log.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/SchTranslator.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/StringUtils.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Circad Translator/Test.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/CompLibReader.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/CompReplace/ComponentReplacer.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Connectivity/Connectivity.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Connectivity/NetInfoPrj.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/CountPorts.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/CreateSchObjects.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/DeleteSchObjects.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/FetchParameters.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/FetchParamsOfComp.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/FetchPinsOfComps.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Font Editor/Fonts Editor.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/ConvUtils.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPins.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Import Pins/PinData.csv (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/IterateSchSheets.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/ModifySchObjects.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/MoveParameters.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/ParametersOfSymbolsInALibrary.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/PlaceAPort.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/PlaceSchObjects.PAS (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/ReplaceSchObjects.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/SchDocsRenamer.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/SchLib_Scripts.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/Sch_Scripts.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/SimModelsOfComponents.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/SymbolPrimsIterator.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UndoRedo.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/FootprintPathUpdater.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/ComponentParameters.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/UserDefinedCompParameters.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/UsingSchInterfaces.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/checkpins.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/createcomp_in_lib.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/createcomponent_on_sch.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/libiterator.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/modelsofacomponent.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/parentchild_iterators.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/usingAspatialiterator.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/SCH/usingWSMInterfaces.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/AddDocToProject.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/Netlister.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/ProjectReporter.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/UsingMessagePanel.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Delphiscript Scripts/WSM/WSM_Scripts.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/CirWiz.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/Clrins1.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/Clrins2.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/EnableBasic_Scripts.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/PcbColor.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/PcbLayer.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/PlaceSchPort.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/EnableBasic Scripts/Quikcopy.bas (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/AddDocToProject.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/AddDocToProjectPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/BuiltInFunctions.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/BuiltInFunctionsPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/CopyBoardOutlineForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/CopyBoardOutlineForm.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/CopyBoardOutlinePRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/GetScriptEngineInfo.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/GetScriptEngineInfoPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/HelloWorld.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/HelloWorldPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/ParentChild_Iterators.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/ParentChild_IteratorsPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/ServerProcessReport.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/ServerProcessReportPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/SineWave.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/SineWave.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/SineWavePRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/ViaCreation.js (100%) rename {altium_crap => tests/altium_crap}/Scripts/JScript Scripts/ViaCreationPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/Query Scripts/SimpleExpression.pas (100%) rename {altium_crap => tests/altium_crap}/Scripts/Query Scripts/UsingFilterPanel.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/AddDocToProject.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/AddDocToProjectPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/CopyBoardOutlineForm.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/CopyBoardOutlineForm.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/CopyBoardOutlinePRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/GetScriptEngineInfo.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/GetScriptEngineInfoPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/HelloWorld.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/HelloWorldPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/ParentChildIteratorsPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/ParentChild_Iterators.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/ServerProcessReport.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/ServerProcessReportPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/SineWave.dfm (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/SineWave.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/SineWavePRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/ViaCreation.vbs (100%) rename {altium_crap => tests/altium_crap}/Scripts/VB Scripts/ViaCreationPRJ.PRJSCR (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/Constraint 1.Constraint (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/Constraint 2.Constraint (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/DifferentialPair.PCBDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/DifferentialPair.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/DifferentialPair.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/DifferentialPair.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/DifferentialPair.sdf (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/FPGA_Project1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Differential Pair/FPGA_Project2.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_12mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_16mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_24mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_2mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_4mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_6mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_F_8mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_12mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_16mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_24mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_2mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_4mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_6mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/D0_S_8mA.csv (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA/IOStandardTest_1.Constraint (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA/IOStandardsTests.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA/ProjectOutputs/IOStandardsTests.VHD (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGAProject_Top.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA_IO_Standards.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/FPGA_MEMORIES.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/NBP-28 Rev 1.00.PCBDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/NBP-28.PRJPCB (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/NBP-28.PRJPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/NBP-28.sdf (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/PROCESSOR.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/PROCESSOR_MEMORIES.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ProjectOutputs/FPGAProject_Top.VHD (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/TOP_SHEET.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/index.ini (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ibis models/amd/lv640f63.ibs (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ibis models/micron/readme.txt (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ibis models/micron/y16a.ibs (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ibis models/micron/y16y.ibs (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ibis models/micron/y96a.ibs (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/NBP-28/ibis models/sharp/lh79520.ibs (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/Constraint1.Constraint (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/SI_Demo.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/Sheet1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.sdf (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/Documentation/Documentation.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/Documentation/Documentation.Txt (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/Documentation/asccprj.scc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcb (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcbStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Config_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_FPGA_Auto_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_LCD_SW_LED_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Power.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/index.ini (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues Resolved/asccprj.scc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcb (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcbStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/SL_Config_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/SL_FPGA_Auto_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/SL_LCD_SW_LED_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/SL_Power.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/index.ini (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/PCB Issues/asccprj.scc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcb (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcbStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Config_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_FPGA_Auto_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_LCD_SW_LED_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Power.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/index.ini (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues Resolved/asccprj.scc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcb (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcbStructure (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SL_Config_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SL_FPGA_Auto_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SL_LCD_SW_LED_2E.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/SL_Power.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.View (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewIcon (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewThumbnail (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/index.ini (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/SCH Issues/asccprj.scc (100%) rename {altium_crap => tests/altium_crap}/Signal Integrity/Spirit Level/Signal Integrity.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Snippets/Code/VHDL BrightnessPalette.Snippet (100%) rename {altium_crap => tests/altium_crap}/Snippets/Code/VHDL BrightnessPalette.SnippetIcon (100%) rename {altium_crap => tests/altium_crap}/Snippets/Code/VHDL BrightnessPalette.SnippetThumbnail (100%) rename {altium_crap => tests/altium_crap}/Snippets/Code/VHDL BrightnessPalette.VHD (100%) rename {altium_crap => tests/altium_crap}/Snippets/Code/index.ini (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/4 by 4 Keypad.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/4 by 4 Keypad.Snippet (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/4 by 4 Keypad.SnippetIcon (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/4 by 4 Keypad.SnippetThumbnail (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/PQFP FPGA Fan-Out.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/PQFP FPGA Fan-Out.Snippet (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/PQFP FPGA Fan-Out.SnippetIcon (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/PQFP FPGA Fan-Out.SnippetThumbnail (100%) rename {altium_crap => tests/altium_crap}/Snippets/PCB/index.ini (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/LiveDesign JTAG Header.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/LiveDesign JTAG Header.Snippet (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/LiveDesign JTAG Header.SnippetIcon (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/LiveDesign JTAG Header.SnippetThumbnail (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Power Connectors and Switch.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Power Connectors and Switch.Snippet (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Power Connectors and Switch.SnippetIcon (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Power Connectors and Switch.SnippetThumbnail (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Simple Regulator Circuit.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Simple Regulator Circuit.Snippet (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Simple Regulator Circuit.SnippetIcon (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/Simple Regulator Circuit.SnippetThumbnail (100%) rename {altium_crap => tests/altium_crap}/Snippets/Schematic/index.ini (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.OpenBusStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.OpenBusStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/Embedded/PWM.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/Embedded/PWM.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Analog/PWM/PWM_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Audio_Service_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/sound.wav (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/sound_bin.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/wave.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/Embedded/wave.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB41_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/Embedded/form1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/Embedded/form1.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound.wav (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound_bin.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/Embedded/sound.mid (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/Embedded/gitar.wav (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Audio/NB3000 SPDIF/spdif_audio_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Audio_DSP.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Equalizer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Filter.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Filter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.dfm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.pas (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Form_IO.dfm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Form_IO.pas (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/IO.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/IO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/InstrumentScript.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/Manage_Controls.pas (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/biquad.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/clip.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/clip.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/control.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/delay.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/echo.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/equalizer_main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/filter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/gain.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/input.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/output.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/process_audio.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Audio DSP/vu.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/Calculator.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/Calculator.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/CalculatorFSM.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymExample.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/Controller.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_07_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_08_DB31_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/bitcount.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/controller_main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/convert.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/format (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/pack_float.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/shot.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/sqr_float.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/sqrctrl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/swap.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/target.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/unpack_float.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/wb_multi_manual.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/win.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/CodeSymbols Explained/xor.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/background.jpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/edgedet.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/hw_edgedet.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/Embedded/sw_edgedet.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Edge Detection/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Floating Point/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/Graphics_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/embedded/Graphics.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/embedded/Graphics.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Moving Average/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/wb_multi_manual.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/bitcount.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/convert.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/pack_float.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/shot.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqr_float.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqrctrl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/swap.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/target.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/unpack_float.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/win.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/xor.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/background.jpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/edgedet.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/hw_edgedet.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/sw_edgedet.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000AL_02_PB01_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000LC_02_PB01_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000XN_05_PB01_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB30_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB31_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/NB3000XN_05_PB01_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/NB3000_chc_video.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/add_histogram.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/calc_histogram.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/chc_video.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/chc_video.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/chc_video.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/clear.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/clear.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/clear_screen.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/contrast_filter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/filter.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/filter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi2rgb.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi2rgb.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi2rgb.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi2rgb_color.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi_filter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi_filters.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/hsi_filters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/init.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/mul10x10.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/mul11x11.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/mul8x8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/mul9x9.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/pixel_select.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/rgb2hsi.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/rgb_filter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/video.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/wb2rgb.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/wb_mux.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/wb_mux6.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/wb_mux6.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/wishbone_adder.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/VGA Video/wishbone_adder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/CHC_Video.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/CHC_Video.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB41_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB42_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/dblbuf.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/process.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/tft.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/video.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C to Hardware/Video Demo/video.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Complex_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Embedded/Complex.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Embedded/complex.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/Embedded/complex.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Complex/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Embedded/Hello World.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Embedded/Hello_World.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Embedded/hello.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Hello_World.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Hello_World.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Hello_World.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Hello_World.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Hello_World_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/Hello_World_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/Hello World/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/Embedded/main.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/down.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/left.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/pause.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/right.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/rotate.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/main.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris_conf.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.cpp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/CAN_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB41_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CAN/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/CANopen.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/CANopen.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/CANopen.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/CANopen.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/CANopen_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/CANopen_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/USER.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/devices.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Client/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/USER.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/devices.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/Embedded Server/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/CANopen/timing.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/Embedded/I2C.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/Embedded/I2C.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/I2C_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/I2C/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/Infrared/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/NB3000XN_04_PB30_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/OneWire_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 OneWire/OneWire_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/Embedded/OneWire.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/Embedded/OneWire.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/OneWire/OneWire_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI Arbiter/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/Embedded/SPI.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/Embedded/SPI.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/SPI/SPI_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART ComError Handling/shiftreg.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/Embedded_1/UART_main.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/Embedded_1/UART_main.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/Embedded_1/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/Embedded_2/UART_echo.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/Embedded_2/UART_echo.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/Embedded_2/echo.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Communication/UART/UART_Serial_Port_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Embedded/Graphics.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Embedded/Graphics.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/Graphics_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Graphics/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/Embedded/balloon.jpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/Embedded/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/Embedded/LCD.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/Embedded/chars.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/LCD_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/LCD/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.OpenBusStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.OpenBusStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display.OpenBusStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 Text Display/Text_Display_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/NB3000 USB Video/USB_Video_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Embedded/BPreplay8.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Embedded/BPreplay8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Embedded/Text_Display.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Embedded/Text_Display.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display.OpenBusStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Display/Text Display/Text_Display_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/PWM.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/ExampleInstrumentIcon.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments_Icon.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.dfm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.pas (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/NBI/NBIScript.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/SI_Main.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/SI_Main.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/SI_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/SI_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/Interrupts_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/embedded/Interrupts.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/embedded/Interrupts.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Interrupts/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/Message_Queues_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_empty.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_filled.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/NB3000 The Dining Philosophers Problem.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Visualize.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/visualize.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_empty.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_filled.bmp (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB30_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB31_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Threading/Threads/Threads_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Embedded/Timers.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Embedded/Timers.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Kernel/Timers/Timers_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA075-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA1000-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA150-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA300-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA450-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA600-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA750-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded_Platform.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FUSION_CLK.edn (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE1500-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE3000-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE600-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P1000-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P125-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P250-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P400-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P600-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/Mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/ProASIC3_DevKit.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_A3P1000-FPQ208.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/Simple.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/cstart.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_SpartanII_B5-X200_Board.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_CycloneIII_Starter_Kit.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED_Platform.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E_top.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/Pwm.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket84.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3032LC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3064LC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032AELC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032BLC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032SLC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064AELC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064BLC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064SLC44.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128AELC84.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128SLC84.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7160SLC84.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_ECP_ECP20-F484.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_EC_EC6-F484.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/main.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/LCD_XOR.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/XOR_LCD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/8X8PWM.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/PWM.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/LCD_XOR.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6ES.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/Joiners.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/TSK165_PWM.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/tsk165_pwm.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5ES.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/Common.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/chars.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/INPORT2x8.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX01/OUTPORT2x8.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/CounterFlag.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffAddressCheck.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/HighSpeedEmbedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/highspeedembeddedmain.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/MemReadController.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/MemWriteController.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/ReceiveController.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/SpartanIIE_IO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/TagCheck.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/Tester.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX03/TransmitController.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.PAS (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.dfm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX06/Scripts/ValueList.txt (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/MCU1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/MCU1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/Mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX08/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO_Base.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO_Base.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/Watchdog.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TEST.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TESTER.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Strio.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Timer.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Tunes.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/hware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/strio.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/Audio.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/BusSplitter.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/CPU51.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/ColourConverter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/DeltaSigma8.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/EvalBoardTester.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/J3x8_1x19.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/NoteDividerTable.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/PS2_INT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/RS_232.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SFR_PORTS.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SineWave.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TColourBar.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDesktop.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDither.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TLCDDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TSevenSegmentDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow12.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/UIO_INT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/addTrans.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX01/font.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/7SegSplitter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/Splitter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TColour2to3.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TDesktop.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TRange.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TSevenSegmentDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCompare.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCounter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeMux.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/DIV2_8B.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/EvaluationCircuit.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TBarLedWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TColour2to3.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TControl.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TControlWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TDesktop.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TFillNoise.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TLayerManager.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TLogicWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouse.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseCursor.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseEvent.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TNoiseWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TPaletteWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TSystemTimers.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TTraceWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX04/TWindow.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/ConsoleController.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/MCU1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/TColour2to3.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/TConsoleWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/TDesktop.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/TWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX05/font.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/Countdown_main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/EB_Wave.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/FPGA_51_Countdown.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/LedsCounter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SegmentDriver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SoundGen.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Countdown_main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EB_Wave.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EBwav_main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Hware.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/CPU_51.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ClockDivider.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ConsoleController.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/DeltaSigma12.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/FPGA_51_Countdown.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SFR_EXPANDER.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SRAM_INT.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SegmentDriver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TColour2to3.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TConsoleWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDesktop.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TLevelMeterWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/div1p5.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/font.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX07/ringin.wav (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/INPORT2x8.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX08/OUTPORT2x8.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/MCU1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/Mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX11/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO_Base.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO_Base.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/Divider.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/Splitter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/Divider.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/LCD_Controller.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/TColour2to3.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/TDesktop.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/TLCDDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/TWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX15/font.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/BarGraph.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/CPU_51.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/DeltaSigma12.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Hware.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/MCU_Wave_Player.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Wave_Player.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/SFR_EXPANDER.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/SRAM_INT.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/div1p5.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX17/ringin.wav (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Altium Mod Player Example.doc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/DeltaSigma12.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Div1p5.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/AudioMixer.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Config.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Datatype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Hware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Mod.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/ModPlayer.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/audiomixer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/fractional.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/mod.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Led_Int.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Port32_IO.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Counter.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Int.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Mux.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Seqencer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/WB_Read_Master.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/harbbize.mod (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX18/harjedal.mod (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_7Seg_Decoder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Interconnect.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Port.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_PortSwitcher.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Processor.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/PortSwitcherEmb1C.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/portswitcher1c.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/AP0127 Video Arcade Games EvalBoard Example.pdf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/CodecDAC.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/FSR.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SigmaDelta.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SoundDAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VGAController.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/flipRow.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/project_tile.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_0.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_1.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_2.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_3.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/terminal_font.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mapCtl.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcu.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuA.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuB.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/myLCD16X2A.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/game_snd.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo0.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo1.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/spt8MapMCUx2.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiter.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiterX2.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArray.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArrayX2.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlSch.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlVHDL.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptMem2K.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptPriHit.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptReg.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/tileMap.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/vgacfg.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/BocMan.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Bocanoid.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Games.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/nano_hw.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/osdepend.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman_map.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/VideoArcadeGames.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/config_games.pas (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/EX20/config_terminal.pas (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/ModDownCounter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/Splitter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/PWM.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/SineOsc.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/ConsoleController.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/MCU1.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/MCU1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/Timer.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TColour2to3.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TConsoleWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDesktop.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TWindow.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/font.hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_AUDIO_OUT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_LED_KEY.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_PCIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_SRAM.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_USRIO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_XTALOSC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/EB2_Cyclone_1_03.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/FPGA_CYCLONE.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_BYTEBLASTER_INT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_PSU_CYCLONE.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_TOP_CYCLONE.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/LiveDesign Evaluation Boards.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/EB1_Spartan_III_1_02.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/FPGA_SPARTAN_III.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_ISE_INT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_PSU_SPARTAN.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_TOP_SPARTAN.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/arm7_util_timing.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/clock_arm7.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29_ppc405cr.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066uFPGA.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga_defs.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_C/Interrupt0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_C/MAX1104_C.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_C/interrupt0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_C/main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_C/ntype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_CTRL.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/MAX1104_TOP.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX01/Max1104.prjfpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/MAX1104.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/MAX1104_CTRL.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/MAX1104_TOP.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104_c/MAX1104_C.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104_c/main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104_c/max1104_c_cstart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104_c/ntype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/Max1104dac_ctrl.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/PortsSplitter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/max1104_driver.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX02/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/AudioCodec_FlashMemory.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/KeyPadScanner.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/LogicAnalyser.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/MCU_Master.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/SoundProcessor/SoundProcessor.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/SoundProcessor/TSK165_Sound.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/SoundRateGenerator.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX03/swtheme_11_KHz.Snd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/Buzzer.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Buzzer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Buzzer_DualProcessor.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Keypad/KEYPAD.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX05/Keypad/Keypad.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Buzzer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Buzzer_DualProcessor.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/def.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/McuMemory.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX07/wb_decoder.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/I2C_Arbitration.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/LogicAnalyser.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/MCU_Master.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/PWM.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/SW_Assignments.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/SoundGenerator.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/SoundProcessor/SoundProcessor.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/SoundProcessor/TSK165_Sound.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/Windows XP Logoff Sound.SND (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/Windows XP Startup_8.Snd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/swtheme.Snd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/swtheme_11_KHz.Snd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX08/tada_8.Snd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/drivers/eth_driver.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/sys.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/sys_rom.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip_global.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/ping.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tcpipset.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tcpipsysset.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tealib/tealib_cfg.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX09/memif.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/drivers/eth_driver.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/sys.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/sys_rom.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip_global.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/ping.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tcpipset.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tcpipsysset.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tealib/tealib_cfg.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX10/memif.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX11/SendFrame/SendFrame.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX11/SendFrame/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX11/memif.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/Ext_Mem.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/ExtMem.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/Ext_Mem.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/CLOCKS.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/Decoder.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/MCU_TSK80.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX14/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C_CODE.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/I2C_CODE/def.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/I2C_CODE/i2c_code.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/McuMemory.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX15/wb_decoder.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/I2C_Arbitration.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/KeyPadScanner.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/Software/DAC.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/Software/DAC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/Software/DAC_Data.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/Software/DAC_Defines.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/Software/LCD.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX16/Software/i2c.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/Embedded/TSK51A_IO_Redirect_LCD_KeyPad.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/Embedded/_read.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/Embedded/_write.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/extmmu51.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX17/uart115200.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/Embedded/TSK51A_IO_Redirect_Serial.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/Embedded/_read.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/Embedded/_write.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/extmmu51.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX18/uart115200.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/Embedded/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/ProgramCode/VGA_Test.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/ProgramCode/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_defs.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_test.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/KeyboardCtrl.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/keyboardctrl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/ntype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX21/wb_decoder.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/Embedded/TSK52B_PWM8.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/Embedded/main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_W.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX22/MEMCON.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/bmp.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/board.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/boc.lsl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/hook.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/init.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/keypad.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/lcd.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/mytypes.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/nexar.oil (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/rtostetris.oil (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/score.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.oil (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial_target.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.oil (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.oil (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/stroke.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris_target.oil (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timeslot.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/types.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vt100.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/Cpuif.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/Tetris.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/Vgacfg.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/Vgaif.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/dual_ram_if.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/rtos.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/Serial/def.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX24/wb_decoder.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Processor.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/ReadMe.doc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/CANDriver.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/GeneralDefines.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkBuffers.inc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkInclude.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/PageSelectInclude.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/Utils.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/UtilsInclude.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/simplenetworkapplication.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX25/Simple_Network_Protocol.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Processor.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/ReadMe.doc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/GeneralDefines.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/simplenetworkapplication.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/Load_From_Flash.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/critical_flash_m25px0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/critical_nb_spi.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/critical_proc_tsk3000.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/critical_util_timing.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/critical_wb_spi.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/clock.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_clock.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div32.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div64.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_mul64.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div32.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div64.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/mul64.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.UCF (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/SendFrame/SendFrame.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/SendFrame/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX28/wb_decoder.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_C51_MEMTEST.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_CPU51.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_FREQ_CNT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_I2C_INT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_Kbd.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_LCD.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_LCD.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_MEMTEST.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_PS2_INT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_RS_232.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_SPEAKER_PWM.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_SPI.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_SPI_MUX.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_Timer.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_TopLevel.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_UIO_INT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_VGA.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_XDATA_MUX.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_Xram.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NMT_c51_memtest_cstart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/SFR_PORTS.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/Uart.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/UserJtagTester.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/hware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/nmt_kbd.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/nmt_spi.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/nmt_timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/nmt_xram.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX29/uart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/Embedded/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX30/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/CAN_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/CAN_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.ASM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/CLOCKS.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/Decoder.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/MCU_TSK80.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/Mcu1/Mcu1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX33/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/Embedded/FlashRecorder.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/Embedded/startup.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/Embedded/startup.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/Flash fix.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/Embedded/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/Embedded/startup.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/Embedded/startup.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/Embedded/VGA.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/Embedded/startup.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/Embedded/PPC405_LedCounter.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/Embedded/TestInstructions.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/Embedded/hardware.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/KeyboardCtrl.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/keyboardctrl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/ntype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX38/PortSplitters.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/KeyboardCtrl.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/keyboardctrl.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/ntype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/PortSplitters.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/myconstr.ucf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX39/short_int.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/CLOCKS.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Decoder.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/MCU_TSK80.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Mcu1/Mcu1.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/PortSplitters.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX40/Test_file/sent.txt (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/Divider.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX41/Stopwatch.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/Display_Controller.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/MCU.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/MCU/MCU.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/MCU/ntype.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/MCU/videotest01.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX42/RAM_Controll.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/DivideBy5_DC50.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/LogicAnalyser.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX43/SinTable.Hex (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/AddOffsets.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/AppregiatorManager.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/AudioCodec_MusicMaker.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/BaseFrequencyConstants.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/ChannelMixer.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/ChordMaker.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/ChordlMixer.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/InstrumentScript.PAS (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/InstrumentScript.dfm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/LogicAnalyser.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/Music1.xls (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/PitchToAddress.VHDL (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/ScaleMaker.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/ScalePattern.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/SineWave.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/Voice.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX44/Voices_All.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/Divider.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.PRJCOR (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.PRJCORStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.SCHLIB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Altera_Cyclone.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Xilinx_Spartan2E.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/AND4S.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CB2CEB.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV4.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV64.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/D2_4EB.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/E4_2B.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD4CB.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FDC.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV4B.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeyPadScanner.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner.mpf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroFiles.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroSettings.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_Synth (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/NAND4B.VQM (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/Status Report.Txt (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.edn (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.mof (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscannerSynthesis.mak (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner_constraints.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/AND4S.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CB2CEB.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV4.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV64.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/D2_4EB.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/E4_2B.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD4CB.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FDC.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV4B.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeyPadScanner.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner.mpf (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner_Synth (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/NAND4B.EDN (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/Status Report.Txt (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.edn (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.mof (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscannerSynthesis.mak (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD/LCD.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD/LCD.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD/lcd_cstart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.DSNWRK (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX45/TSK51.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_JTAG_LOOP_CABLE.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RS232_TESTPLUG.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RTC_ADDON.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCBStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_C51.PRJEMB (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_CPU51.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_FREQ_CNT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_I2C_INT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_I2c.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_I2c.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_LCD.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_LCD.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_Main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_PS2.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_PS2.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_PS2_INT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_RS_232.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_RTC.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_RTC.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_SPEAKER_PWM.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_SPI.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_SPI.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_SPI_MUX.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_Timer.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_Timer.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_TopLevel.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_UIO_INT.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_VGA.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NBT_XDATA_MUX.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/SFR_PORTS.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Strio.H (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Uart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/Uart.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/hware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/nbt_c51_cstart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX46/strio.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/AddTrans.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_MemTest.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/OnBoardMemoryTester.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_I2c.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_i2c.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/Firmware/hware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/J3x8_1x19.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OMT_Cpu51.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OMT_I2C_Int.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OMT_SFR_Ports.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OMT_Speaker_Pwm.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/AudioDriver.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/DIV2_8B.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/EvaluationCircuit.SCHDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/Main.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TBarLedWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TControl.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TControlWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TDesktop.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TDigitalClock.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TDisplay.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TFillChecker.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TFillNoise.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TFillWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TKeyboard.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TLayerManager.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TLogicWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TMouse.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TMouseCursor.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TMouseEvent.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TNoiseWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TPaletteWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TPriiorityEncoder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TRange.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TSynth.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TSystemTimers.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TTraceWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/TWindow.schDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/VideoWindows.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/Hware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/Rocket_IO_Test.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Firmware/rocket_io_test.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Mem_Int_8_16.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/NBT_CPU.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/RIO_Common.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/Receiver.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/EX49/TX_Data_Gen.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Legacy/NB1/NB1 Testing.DsnWrk (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/Altera.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/Embedded/System.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/System.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Altera/AlteraPll.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_BoardDeskew.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_MainClock.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000AL/SdramClocking.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/MainClock.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/SdramBoardDeskew.vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/System.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000AL_System.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000XN_System.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/Embedded/GPS_NMEA.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/Embedded/gps_nmea.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/GPS_NMEA/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/Embedded/PPP.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/Embedded/init.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/Embedded/ppp.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/NB3000XN_04_PB15_04_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/PPP.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/PPP.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/PPP_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/ppp.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/NB3000 PPP/ppp_system.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/Embedded/SMS.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/Embedded/SMS.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Mobile/SMS/SMS_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/lwipopts_user.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/lwipopts_user.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/lwipopts_user.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/DhcpDns.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/DhcpDns.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/DhcpDns.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/DhcpDns_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/Embedded/dns_client.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/Embedded/leds.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Emac32_Ping_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Embedded/pingstack.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Embedded/pingstack.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/Embedded/util_endian.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Emac32/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Embedded/pingstack.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Embedded/pingstack.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Netconn.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Networking.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Networking.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Sockets.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.tcl (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/Timing.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/ARM7_Sharp_LH79520_Memory.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/arm7_util_timing.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/clock_arm7.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/util_timing.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.ht (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/Actel_CoreMP7_UART.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_common.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/Altera_NiosII_VGA.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_defs.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_test.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga_defs.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR_Constants.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TSK3000_InstructionTest.Asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TestInstructions.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/hardware.asm (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Processor Examples/TSK3000 Trace/V_Trace.Vhd (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/FAT_File_System_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/DS1391 RTC/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/ICS307 Clock Generator/Software/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.schdoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/Embedded/GUI.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/Embedded/GUI.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/Embedded/form1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/Embedded/form1.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/GUI_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/GUI/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Embedded/Keypad.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Embedded/Keypad.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/Keypad_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Keypad/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.agui (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1_init.C (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.h (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 GUI/GUI_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Embedded/Pointer.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Embedded/Pointer.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Pointer/Pointer_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB32_07_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB40_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB41_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB42_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB43_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen.Constraint (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen_System.Harness (100%) rename {altium_crap => tests/altium_crap}/Soft Designs/User Interface/Touchscreen/Touchscreen_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/BlinkingLED.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/Clock_board.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/Embedded/FPGA_Processor_32Bit_LEDs.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/Embedded/hardware.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/Embedded/leds1.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/32 bit FPGA Processor Design/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Effects_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Effects_Sch.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Effects_Sch.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/Embedded/main.C (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/MyConstraint.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/Accumulator.C (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/AccumulatorUI.Instrument (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/CHC_Accumulator.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/CHC_Accumulator.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/CHC Accumulator/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Creating Components/NPN.mdl (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Creating Components/NSC_74F08.mdl (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Creating Components/New Library.LibPkg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Creating Components/Out/New Library.IntLib (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Creating Components/PCB Footprints.PcbLib (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Creating Components/Schematic Components.SCHLIB (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/Custom_Instrument_Design.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/Custom_Instrument_Example.Instrument (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/ExampleInstrumentIcon.bmp (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/NB2DSK01_07_DB30_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/NB2DSK01_07_DB31_04_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/NB2DSK01_07_DB32_05_Mapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/NB2DSK01_08_DB36_01_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Custom Instrument Design/NB2DSK01_08_DB46_02_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started - FPGA Design/Clock_Divider.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started - FPGA Design/Clock_Divider.vhd (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started - FPGA Design/Simple_Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/Embedded/PS2_Echo.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB31_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB32_05_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/PS2_Echo.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/PS2_Echo_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/PS2_Echo_system.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/Getting Started -Software Platform/PS2_Echo_system.zip (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 1/CB8CEB.EDN (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 1/COMPM8B.EDN (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 1/PWM8.edn (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/TFT_TOUCH.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/palette.bmp (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_TOP.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/TFT_LEDs.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/palette.bmp (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/rgbcolors.bmp (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.dfm (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.pas (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.dfm (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.pas (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_Script.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/NB2DSK01_08_DB30_06_BoardMapping.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs-orig.pas (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjScr (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.dfm (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.pas (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/uP_KnightRider.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/instruments.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main (orig).c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver_System.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded_SWP.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/instruments.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 8/up_KR_Constraint.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb.cri (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.SwPlatform (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/generic_devices.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/led_info.h (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/main.c (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Constraint (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.SchDoc (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.Harness (100%) rename {altium_crap => tests/altium_crap}/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.OpenBus (100%) rename {altium_crap => tests/altium_crap}/Tutorials/multivibrator_step/board.STEP (100%) rename {altium_crap => tests/altium_crap}/Tutorials/multivibrator_step/multivibrator_base.STEP (100%) rename {altium_crap => tests/altium_crap}/Tutorials/multivibrator_step/multivibrator_cover.STEP (100%) rename {altium_crap => tests/altium_crap}/Tutorials/multivibrator_step/multivibrator_step.PcbDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/16Bit Group Ripple Adder/TestBench.vhdtst (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/BCD.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/BCD8.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/BCD8.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/BCD8.schdoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/SCH Library/BCD.SCHLIB (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/TestBCD.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/VHDL Library/BCD_LIB.VHDLIB (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/VHDL Library/BUFGS.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/VHDL Library/PARITY.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/BCD Counter/VHDL Library/UTILITY.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Error Correcting For 7bit Hamming Code/TestBench.vhdtst (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Control.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Four Bit Decimal Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Four Decade BCD Counter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Frequency Meter TB.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Frequency Meter/Frequency Meter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/KeyBoard Reader/KeyBrdReader.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/KeyBoard Reader/Test_KeyBrdReader.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Parallel To Serial Converter/Testbench.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Pulse Width Modulation/Pwm.schdoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Pulse Width Modulation/Test_Pwm.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.SchDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Serial To Parallel Converter/Testbench.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/TBarLedWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/TMouseEvent.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/TWindow.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test BarLed Window/Test_TBarLedWindow.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TControl.schDOC (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TControlWindow.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TControlWindow.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TControlWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TMouseEvent.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/TWindow.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Control Window/Test_TControlWindow.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Keyboard/TKeyboard.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Keyboard/Test_TKeyboard.VHDTST (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPG (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPGStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/TMouseEvent.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpg (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpgStructure (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/TPaletteWindow.schDOC (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/TRange.VHD (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/TWindow.schDoc (100%) rename {altium_crap => tests/altium_crap}/VHDL Simulation/Test Palette Window/Test_TPaletteWindow.VHDTST (100%) diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Discrete.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Discrete.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Discrete.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Discrete.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Cera-Mite Ceramic Axial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Cera-Mite Ceramic Axial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Cera-Mite Ceramic Axial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Cera-Mite Ceramic Axial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Disc Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Disc Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Disc Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Disc Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Tubular Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Tubular Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Tubular Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Draloric Ceramic Tubular Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Electrolytic Radial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Electrolytic Radial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Electrolytic Radial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Electrolytic Radial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Axial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Axial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Axial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Axial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Radial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Radial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Radial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Radial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Snap-In Pins Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Snap-In Pins Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Snap-In Pins Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Snap-In Pins Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Solder Ring Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Solder Ring Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Solder Ring Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Electrolytic Solder Ring Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Tantalum Radial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Tantalum Radial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Tantalum Radial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Roederstein Tantalum Radial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Axial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Axial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Axial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Axial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Chip Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Chip Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Chip Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Chip Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Radial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Radial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Radial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Radial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Triple-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Triple-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Triple-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Sprague Tantalum Triple-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Axial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Axial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Axial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Axial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Radial-Lead Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Radial-Lead Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Radial-Lead Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Tansitor Tantalum Radial-Lead Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Vitramon Ceramic Dipped Capacitor.PcbLib b/tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Vitramon Ceramic Dipped Capacitor.PcbLib similarity index 100% rename from altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Vitramon Ceramic Dipped Capacitor.PcbLib rename to tests/altium_crap/CIS/Example DBLib/PcbLibraries/Vishay Vitramon Ceramic Dipped Capacitor.PcbLib diff --git a/altium_crap/CIS/Example DBLib/SchLibraries/Discretes.SCHLIB b/tests/altium_crap/CIS/Example DBLib/SchLibraries/Discretes.SCHLIB similarity index 100% rename from altium_crap/CIS/Example DBLib/SchLibraries/Discretes.SCHLIB rename to tests/altium_crap/CIS/Example DBLib/SchLibraries/Discretes.SCHLIB diff --git a/altium_crap/CIS/Example DBLib/VishayCapacitor.DBLib b/tests/altium_crap/CIS/Example DBLib/VishayCapacitor.DBLib similarity index 100% rename from altium_crap/CIS/Example DBLib/VishayCapacitor.DBLib rename to tests/altium_crap/CIS/Example DBLib/VishayCapacitor.DBLib diff --git a/altium_crap/CIS/Example DBLib/VishayCapacitor.mdb b/tests/altium_crap/CIS/Example DBLib/VishayCapacitor.mdb similarity index 100% rename from altium_crap/CIS/Example DBLib/VishayCapacitor.mdb rename to tests/altium_crap/CIS/Example DBLib/VishayCapacitor.mdb diff --git a/altium_crap/CIS/Example database.mdb b/tests/altium_crap/CIS/Example database.mdb similarity index 100% rename from altium_crap/CIS/Example database.mdb rename to tests/altium_crap/CIS/Example database.mdb diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCB b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCB rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCB diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.cfg b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.cfg similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.cfg rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.cfg diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.schdoc b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.schdoc rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555 Astable Multivibrator.schdoc diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/555.ckt b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555.ckt similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/555.ckt rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/555.ckt diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.nsx b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.nsx similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.nsx rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.nsx diff --git a/altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.sdf b/tests/altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.sdf similarity index 100% rename from altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.sdf rename to tests/altium_crap/Circuit Sim/555 Astable Multivibrator/Out/555 Astable Multivibrator.sdf diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCB b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCB rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCB diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.cfg b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.cfg similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.cfg rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.cfg diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.schdoc b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.schdoc rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555 Monostable Multivibrator.schdoc diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/555.ckt b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555.ckt similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/555.ckt rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/555.ckt diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.nsx b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.nsx similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.nsx rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.nsx diff --git a/altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.sdf b/tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.sdf similarity index 100% rename from altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.sdf rename to tests/altium_crap/Circuit Sim/555 Monostable Multivibrator/Out/555 Monostable Multivibrator.sdf diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/1N914.mdl b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/1N914.mdl similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/1N914.mdl rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/1N914.mdl diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/2N2222A.mdl b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/2N2222A.mdl similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/2N2222A.mdl rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/2N2222A.mdl diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.cfg b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.cfg rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.cfg diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.schdoc b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/741 Operational Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.nsx b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.nsx rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.nsx diff --git a/altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.sdf b/tests/altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.sdf rename to tests/altium_crap/Circuit Sim/741 Operational Amplifier/Out/741 Operational Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Amplified Modulator/2N2222A.mdl b/tests/altium_crap/Circuit Sim/Amplified Modulator/2N2222A.mdl similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/2N2222A.mdl rename to tests/altium_crap/Circuit Sim/Amplified Modulator/2N2222A.mdl diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCB b/tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCB rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCB diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.cfg b/tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.cfg rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.cfg diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.schdoc b/tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.schdoc rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Amplified Modulator.schdoc diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Amplified Modulator/Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Modulator.schdoc b/tests/altium_crap/Circuit Sim/Amplified Modulator/Modulator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Modulator.schdoc rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Modulator.schdoc diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.nsx b/tests/altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.nsx rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.nsx diff --git a/altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.sdf b/tests/altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.sdf rename to tests/altium_crap/Circuit Sim/Amplified Modulator/Out/Amplified Modulator.sdf diff --git a/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.cfg b/tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Analog Amplifier/Analog Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.nsx b/tests/altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.sdf b/tests/altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Analog Amplifier/Out/Analog Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Analog Amplifier/UA741.ckt b/tests/altium_crap/Circuit Sim/Analog Amplifier/UA741.ckt similarity index 100% rename from altium_crap/Circuit Sim/Analog Amplifier/UA741.ckt rename to tests/altium_crap/Circuit Sim/Analog Amplifier/UA741.ckt diff --git a/altium_crap/Circuit Sim/Analog Relay/12VSPDT.ckt b/tests/altium_crap/Circuit Sim/Analog Relay/12VSPDT.ckt similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/12VSPDT.ckt rename to tests/altium_crap/Circuit Sim/Analog Relay/12VSPDT.ckt diff --git a/altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCB b/tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCB rename to tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCB diff --git a/altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Analog Relay/Analog Relay.cfg b/tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.cfg similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/Analog Relay.cfg rename to tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.cfg diff --git a/altium_crap/Circuit Sim/Analog Relay/Analog Relay.schdoc b/tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/Analog Relay.schdoc rename to tests/altium_crap/Circuit Sim/Analog Relay/Analog Relay.schdoc diff --git a/altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.nsx b/tests/altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.nsx similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.nsx rename to tests/altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.nsx diff --git a/altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.sdf b/tests/altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.sdf similarity index 100% rename from altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.sdf rename to tests/altium_crap/Circuit Sim/Analog Relay/Out/Analog Relay.sdf diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/2N4410.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/2N4410.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/2N4410.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/2N4410.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS00.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS00.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS00.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS00.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS04.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS04.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS04.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS04.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS10.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS10.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS10.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS10.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS20.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS20.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS20.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS20.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS30.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS30.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS30.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS30.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS373.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS373.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS373.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS373.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS90.mdl b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS90.mdl similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS90.mdl rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/74LS90.mdl diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCB b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCB rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCB diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCBStructure b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.cfg b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.cfg similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.cfg rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.cfg diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.schdoc b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.schdoc similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.schdoc rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/BCDto7.schdoc diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.nsx b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.nsx similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.nsx rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.nsx diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.sdf b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.sdf similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.sdf rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/Out/BCDto7.sdf diff --git a/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/REDCA.ckt b/tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/REDCA.ckt similarity index 100% rename from altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/REDCA.ckt rename to tests/altium_crap/Circuit Sim/BCD-to-7 Segment Decoder/REDCA.ckt diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/2N3906.mdl b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/2N3906.mdl similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/2N3906.mdl rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/2N3906.mdl diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.cfg b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/Bandpass Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.nsx b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.sdf b/tests/altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Bandpass Amplifier/Out/Bandpass Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCB b/tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCB rename to tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCB diff --git a/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.cfg b/tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.cfg similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.cfg rename to tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.cfg diff --git a/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.schdoc b/tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.schdoc rename to tests/altium_crap/Circuit Sim/Bandpass Filter/Bandpass Filter.schdoc diff --git a/altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.nsx b/tests/altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.nsx similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.nsx rename to tests/altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.nsx diff --git a/altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.sdf b/tests/altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.sdf similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.sdf rename to tests/altium_crap/Circuit Sim/Bandpass Filter/Out/Bandpass Filter.sdf diff --git a/altium_crap/Circuit Sim/Bandpass Filter/UA741.ckt b/tests/altium_crap/Circuit Sim/Bandpass Filter/UA741.ckt similarity index 100% rename from altium_crap/Circuit Sim/Bandpass Filter/UA741.ckt rename to tests/altium_crap/Circuit Sim/Bandpass Filter/UA741.ckt diff --git a/altium_crap/Circuit Sim/Basic Power Supply/1N4002.mdl b/tests/altium_crap/Circuit Sim/Basic Power Supply/1N4002.mdl similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/1N4002.mdl rename to tests/altium_crap/Circuit Sim/Basic Power Supply/1N4002.mdl diff --git a/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCB b/tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCB rename to tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCB diff --git a/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.cfg b/tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.cfg similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.cfg rename to tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.cfg diff --git a/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.schdoc b/tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.schdoc rename to tests/altium_crap/Circuit Sim/Basic Power Supply/Basic Power Supply.schdoc diff --git a/altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.nsx b/tests/altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.nsx similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.nsx rename to tests/altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.nsx diff --git a/altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.sdf b/tests/altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.sdf similarity index 100% rename from altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.sdf rename to tests/altium_crap/Circuit Sim/Basic Power Supply/Out/Basic Power Supply.sdf diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/1N914.mdl b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/1N914.mdl similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/1N914.mdl rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/1N914.mdl diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/2N3904.mdl b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/2N3904.mdl similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/2N3904.mdl rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/2N3904.mdl diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCB b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCB rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCB diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.RPT b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.RPT similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.RPT rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.RPT diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.cfg b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.cfg rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.cfg diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.schdoc b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.schdoc rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Bistable Multivibrator.schdoc diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.nsx b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.nsx rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.nsx diff --git a/altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.sdf b/tests/altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.sdf rename to tests/altium_crap/Circuit Sim/Bistable Multivibrator/Out/Bistable Multivibrator.sdf diff --git a/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PcbDoc b/tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PcbDoc similarity index 100% rename from altium_crap/Circuit Sim/Boost Converter/Boost Converter.PcbDoc rename to tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PcbDoc diff --git a/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCB b/tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCB rename to tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCB diff --git a/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Boost Converter/Boost Converter.SchDoc b/tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Boost Converter/Boost Converter.SchDoc rename to tests/altium_crap/Circuit Sim/Boost Converter/Boost Converter.SchDoc diff --git a/altium_crap/Circuit Sim/Boost Converter/SIMetrix Devices.IntLib b/tests/altium_crap/Circuit Sim/Boost Converter/SIMetrix Devices.IntLib similarity index 100% rename from altium_crap/Circuit Sim/Boost Converter/SIMetrix Devices.IntLib rename to tests/altium_crap/Circuit Sim/Boost Converter/SIMetrix Devices.IntLib diff --git a/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCB b/tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCB rename to tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCB diff --git a/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.cfg b/tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.cfg similarity index 100% rename from altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.cfg rename to tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.cfg diff --git a/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.schdoc b/tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.schdoc rename to tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Charging and Discharging Capacitors.schdoc diff --git a/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.nsx b/tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.nsx similarity index 100% rename from altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.nsx rename to tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.nsx diff --git a/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.sdf b/tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.sdf similarity index 100% rename from altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.sdf rename to tests/altium_crap/Circuit Sim/Charging and Discharging Capacitors/Out/Charging and Discharging Capacitors.sdf diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/1N914.mdl b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/1N914.mdl similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/1N914.mdl rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/1N914.mdl diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/2N3904.mdl b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/2N3904.mdl similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/2N3904.mdl rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/2N3904.mdl diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCB b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCB rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCB diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.cfg b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.cfg rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.cfg diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.schdoc b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.schdoc rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Collector Coupled Astable Multivibrator.schdoc diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.nsx b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.nsx rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.nsx diff --git a/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.sdf b/tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.sdf rename to tests/altium_crap/Circuit Sim/Collector Coupled Astable Multivibrator/Out/Collector Coupled Astable Multivibrator.sdf diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/2N4393.mdl b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/2N4393.mdl similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/2N4393.mdl rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/2N4393.mdl diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.cfg b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Common Source JFET Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.nsx b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.sdf b/tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Common Source JFET Amplifier/Out/Common Source JFET Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/2N3904.mdl b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/2N3904.mdl similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/2N3904.mdl rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/2N3904.mdl diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.cfg b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/Common-Base Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.nsx b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.sdf b/tests/altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Common-Base Amplifier/Out/Common-Base Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/2N2222A.mdl b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/2N2222A.mdl similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/2N2222A.mdl rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/2N2222A.mdl diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.cfg b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Common-Emmiter Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.nsx b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.sdf b/tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Common-Emmiter Amplifier/Out/Common-Emmiter Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/3.5795MHZ.ckt b/tests/altium_crap/Circuit Sim/Crystal Oscillator/3.5795MHZ.ckt similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/3.5795MHZ.ckt rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/3.5795MHZ.ckt diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCB b/tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCB rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCB diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.cfg b/tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.cfg rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.cfg diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.schdoc b/tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.schdoc rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/Crystal Oscillator.schdoc diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/NMOS.mdl b/tests/altium_crap/Circuit Sim/Crystal Oscillator/NMOS.mdl similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/NMOS.mdl rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/NMOS.mdl diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.nsx b/tests/altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.nsx rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.nsx diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.sdf b/tests/altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.sdf rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/Out/Crystal Oscillator.sdf diff --git a/altium_crap/Circuit Sim/Crystal Oscillator/PMOS.mdl b/tests/altium_crap/Circuit Sim/Crystal Oscillator/PMOS.mdl similarity index 100% rename from altium_crap/Circuit Sim/Crystal Oscillator/PMOS.mdl rename to tests/altium_crap/Circuit Sim/Crystal Oscillator/PMOS.mdl diff --git a/altium_crap/Circuit Sim/Differential Amplifier/1N914.mdl b/tests/altium_crap/Circuit Sim/Differential Amplifier/1N914.mdl similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/1N914.mdl rename to tests/altium_crap/Circuit Sim/Differential Amplifier/1N914.mdl diff --git a/altium_crap/Circuit Sim/Differential Amplifier/2N2222A.mdl b/tests/altium_crap/Circuit Sim/Differential Amplifier/2N2222A.mdl similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/2N2222A.mdl rename to tests/altium_crap/Circuit Sim/Differential Amplifier/2N2222A.mdl diff --git a/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.cfg b/tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Differential Amplifier/Differential Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.nsx b/tests/altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.sdf b/tests/altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Differential Amplifier/Out/Differential Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Differential Amplifier/SUBV.ckt b/tests/altium_crap/Circuit Sim/Differential Amplifier/SUBV.ckt similarity index 100% rename from altium_crap/Circuit Sim/Differential Amplifier/SUBV.ckt rename to tests/altium_crap/Circuit Sim/Differential Amplifier/SUBV.ckt diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Model.SchDoc b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Model.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Model.SchDoc rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Model.SchDoc diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery Test Circuit.SchDoc b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery Test Circuit.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery Test Circuit.SchDoc rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery Test Circuit.SchDoc diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCB b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCB rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCB diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Diode Reverse Recovery.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.net b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.net similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.net rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.net diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.sdf b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.sdf similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.sdf rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/Project Outputs for Diode Reverse Recovery/Diode Reverse Recovery.sdf diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/SIMPLIS Devices.IntLib b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/SIMPLIS Devices.IntLib similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/SIMPLIS Devices.IntLib rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/SIMPLIS Devices.IntLib diff --git a/altium_crap/Circuit Sim/Diode Reverse Recovery/sw.mdl b/tests/altium_crap/Circuit Sim/Diode Reverse Recovery/sw.mdl similarity index 100% rename from altium_crap/Circuit Sim/Diode Reverse Recovery/sw.mdl rename to tests/altium_crap/Circuit Sim/Diode Reverse Recovery/sw.mdl diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/1N4736.mdl b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/1N4736.mdl similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/1N4736.mdl rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/1N4736.mdl diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3904.mdl b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3904.mdl similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3904.mdl rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3904.mdl diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3906.mdl b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3906.mdl similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3906.mdl rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/2N3906.mdl diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/5TO1CT.ckt b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/5TO1CT.ckt similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/5TO1CT.ckt rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/5TO1CT.ckt diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/BRIDGE.ckt b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/BRIDGE.ckt similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/BRIDGE.ckt rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/BRIDGE.ckt diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCB b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCB rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCB diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.cfg b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.cfg similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.cfg rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.cfg diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.schdoc b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.schdoc rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Dual Polarity Power Supply.schdoc diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.nsx b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.nsx similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.nsx rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.nsx diff --git a/altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.sdf b/tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.sdf similarity index 100% rename from altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.sdf rename to tests/altium_crap/Circuit Sim/Dual Polarity Power Supply/Out/Dual Polarity Power Supply.sdf diff --git a/altium_crap/Circuit Sim/Filter/Filter.PRJPCB b/tests/altium_crap/Circuit Sim/Filter/Filter.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Filter/Filter.PRJPCB rename to tests/altium_crap/Circuit Sim/Filter/Filter.PRJPCB diff --git a/altium_crap/Circuit Sim/Filter/Filter.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Filter/Filter.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Filter/Filter.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Filter/Filter.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Filter/Filter.cfg b/tests/altium_crap/Circuit Sim/Filter/Filter.cfg similarity index 100% rename from altium_crap/Circuit Sim/Filter/Filter.cfg rename to tests/altium_crap/Circuit Sim/Filter/Filter.cfg diff --git a/altium_crap/Circuit Sim/Filter/Filter.schdoc b/tests/altium_crap/Circuit Sim/Filter/Filter.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Filter/Filter.schdoc rename to tests/altium_crap/Circuit Sim/Filter/Filter.schdoc diff --git a/altium_crap/Circuit Sim/Filter/LF411C.ckt b/tests/altium_crap/Circuit Sim/Filter/LF411C.ckt similarity index 100% rename from altium_crap/Circuit Sim/Filter/LF411C.ckt rename to tests/altium_crap/Circuit Sim/Filter/LF411C.ckt diff --git a/altium_crap/Circuit Sim/Filter/Out/Filter.nsx b/tests/altium_crap/Circuit Sim/Filter/Out/Filter.nsx similarity index 100% rename from altium_crap/Circuit Sim/Filter/Out/Filter.nsx rename to tests/altium_crap/Circuit Sim/Filter/Out/Filter.nsx diff --git a/altium_crap/Circuit Sim/Filter/Out/Filter.sdf b/tests/altium_crap/Circuit Sim/Filter/Out/Filter.sdf similarity index 100% rename from altium_crap/Circuit Sim/Filter/Out/Filter.sdf rename to tests/altium_crap/Circuit Sim/Filter/Out/Filter.sdf diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/FTOV.ckt b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/FTOV.ckt similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/FTOV.ckt rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/FTOV.ckt diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCB b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCB rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCB diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.cfg b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.cfg similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.cfg rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.cfg diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.schdoc b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.schdoc rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Frequency To Voltage Converter.schdoc diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.nsx b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.nsx similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.nsx rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.nsx diff --git a/altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.sdf b/tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.sdf similarity index 100% rename from altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.sdf rename to tests/altium_crap/Circuit Sim/Frequency To Voltage Converter/Out/Frequency To Voltage Converter.sdf diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PcbDoc b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PcbDoc similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PcbDoc rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PcbDoc diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCB b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCB rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCB diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.SchDoc b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.SchDoc rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/Full-Bridge Converter.SchDoc diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.net b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.net similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.net rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.net diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.sdf b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.sdf similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.sdf rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/Project Outputs for Full-Bridge Converter/Full-Bridge Converter.sdf diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/SIMPLIS Devices.IntLib b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/SIMPLIS Devices.IntLib similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/SIMPLIS Devices.IntLib rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/SIMPLIS Devices.IntLib diff --git a/altium_crap/Circuit Sim/Full-Bridge Converter/SIMetrix Devices.IntLib b/tests/altium_crap/Circuit Sim/Full-Bridge Converter/SIMetrix Devices.IntLib similarity index 100% rename from altium_crap/Circuit Sim/Full-Bridge Converter/SIMetrix Devices.IntLib rename to tests/altium_crap/Circuit Sim/Full-Bridge Converter/SIMetrix Devices.IntLib diff --git a/altium_crap/Circuit Sim/Fuse/FUSE.ckt b/tests/altium_crap/Circuit Sim/Fuse/FUSE.ckt similarity index 100% rename from altium_crap/Circuit Sim/Fuse/FUSE.ckt rename to tests/altium_crap/Circuit Sim/Fuse/FUSE.ckt diff --git a/altium_crap/Circuit Sim/Fuse/Fuse.PRJPCB b/tests/altium_crap/Circuit Sim/Fuse/Fuse.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Fuse/Fuse.PRJPCB rename to tests/altium_crap/Circuit Sim/Fuse/Fuse.PRJPCB diff --git a/altium_crap/Circuit Sim/Fuse/Fuse.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Fuse/Fuse.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Fuse/Fuse.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Fuse/Fuse.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Fuse/Out/Fuse.nsx b/tests/altium_crap/Circuit Sim/Fuse/Out/Fuse.nsx similarity index 100% rename from altium_crap/Circuit Sim/Fuse/Out/Fuse.nsx rename to tests/altium_crap/Circuit Sim/Fuse/Out/Fuse.nsx diff --git a/altium_crap/Circuit Sim/Fuse/Out/Fuse.sdf b/tests/altium_crap/Circuit Sim/Fuse/Out/Fuse.sdf similarity index 100% rename from altium_crap/Circuit Sim/Fuse/Out/Fuse.sdf rename to tests/altium_crap/Circuit Sim/Fuse/Out/Fuse.sdf diff --git a/altium_crap/Circuit Sim/Fuse/fuse.cfg b/tests/altium_crap/Circuit Sim/Fuse/fuse.cfg similarity index 100% rename from altium_crap/Circuit Sim/Fuse/fuse.cfg rename to tests/altium_crap/Circuit Sim/Fuse/fuse.cfg diff --git a/altium_crap/Circuit Sim/Fuse/fuse.schdoc b/tests/altium_crap/Circuit Sim/Fuse/fuse.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Fuse/fuse.schdoc rename to tests/altium_crap/Circuit Sim/Fuse/fuse.schdoc diff --git a/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCB b/tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCB rename to tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCB diff --git a/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.cfg b/tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.cfg similarity index 100% rename from altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.cfg rename to tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.cfg diff --git a/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.schdoc b/tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.schdoc rename to tests/altium_crap/Circuit Sim/Lossless Transmission Line/Lossless Transmission Line.schdoc diff --git a/altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.nsx b/tests/altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.nsx similarity index 100% rename from altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.nsx rename to tests/altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.nsx diff --git a/altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.sdf b/tests/altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.sdf similarity index 100% rename from altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.sdf rename to tests/altium_crap/Circuit Sim/Lossless Transmission Line/Out/Lossless Transmission Line.sdf diff --git a/altium_crap/Circuit Sim/Mathematical Function/ADDV.ckt b/tests/altium_crap/Circuit Sim/Mathematical Function/ADDV.ckt similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/ADDV.ckt rename to tests/altium_crap/Circuit Sim/Mathematical Function/ADDV.ckt diff --git a/altium_crap/Circuit Sim/Mathematical Function/ATANHV.ckt b/tests/altium_crap/Circuit Sim/Mathematical Function/ATANHV.ckt similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/ATANHV.ckt rename to tests/altium_crap/Circuit Sim/Mathematical Function/ATANHV.ckt diff --git a/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCB b/tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCB rename to tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCB diff --git a/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.cfg b/tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.cfg similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.cfg rename to tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.cfg diff --git a/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.schdoc b/tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.schdoc rename to tests/altium_crap/Circuit Sim/Mathematical Function/Mathematical Function.schdoc diff --git a/altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.nsx b/tests/altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.nsx similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.nsx rename to tests/altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.nsx diff --git a/altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.sdf b/tests/altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.sdf similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.sdf rename to tests/altium_crap/Circuit Sim/Mathematical Function/Out/Mathematical Function.sdf diff --git a/altium_crap/Circuit Sim/Mathematical Function/UNARYV.ckt b/tests/altium_crap/Circuit Sim/Mathematical Function/UNARYV.ckt similarity index 100% rename from altium_crap/Circuit Sim/Mathematical Function/UNARYV.ckt rename to tests/altium_crap/Circuit Sim/Mathematical Function/UNARYV.ckt diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/555.ckt b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/555.ckt similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/555.ckt rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/555.ckt diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS04.mdl b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS04.mdl similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS04.mdl rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS04.mdl diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS112.mdl b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS112.mdl similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS112.mdl rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/74LS112.mdl diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCB b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCB rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCB diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.cfg b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.cfg similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.cfg rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.cfg diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.schdoc b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.schdoc rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Mixed-mode Binary Ripple 555.schdoc diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/NPN.mdl b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/NPN.mdl similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/NPN.mdl rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/NPN.mdl diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.nsx b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.nsx similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.nsx rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.nsx diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.sdf b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.sdf similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.sdf rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 555/Out/Mixed-mode Binary Ripple 555.sdf diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS04.mdl b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS04.mdl similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS04.mdl rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS04.mdl diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS112.mdl b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS112.mdl similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS112.mdl rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/74LS112.mdl diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCB b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCB rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCB diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.cfg b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.cfg similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.cfg rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.cfg diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.schdoc b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.schdoc rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Mixed-mode Binary Ripple 93.schdoc diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/NPN.mdl b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/NPN.mdl similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/NPN.mdl rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/NPN.mdl diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.nsx b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.nsx similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.nsx rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.nsx diff --git a/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.sdf b/tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.sdf similarity index 100% rename from altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.sdf rename to tests/altium_crap/Circuit Sim/Mixed-mode Binary Ripple 93/Out/Mixed-mode Binary Ripple 93.sdf diff --git a/altium_crap/Circuit Sim/Oscillator/4011.mdl b/tests/altium_crap/Circuit Sim/Oscillator/4011.mdl similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/4011.mdl rename to tests/altium_crap/Circuit Sim/Oscillator/4011.mdl diff --git a/altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCB b/tests/altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCB rename to tests/altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCB diff --git a/altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Oscillator/Oscillator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Oscillator/Oscillator.cfg b/tests/altium_crap/Circuit Sim/Oscillator/Oscillator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/Oscillator.cfg rename to tests/altium_crap/Circuit Sim/Oscillator/Oscillator.cfg diff --git a/altium_crap/Circuit Sim/Oscillator/Oscillator.schdoc b/tests/altium_crap/Circuit Sim/Oscillator/Oscillator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/Oscillator.schdoc rename to tests/altium_crap/Circuit Sim/Oscillator/Oscillator.schdoc diff --git a/altium_crap/Circuit Sim/Oscillator/Out/Oscillator.nsx b/tests/altium_crap/Circuit Sim/Oscillator/Out/Oscillator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/Out/Oscillator.nsx rename to tests/altium_crap/Circuit Sim/Oscillator/Out/Oscillator.nsx diff --git a/altium_crap/Circuit Sim/Oscillator/Out/Oscillator.sdf b/tests/altium_crap/Circuit Sim/Oscillator/Out/Oscillator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Oscillator/Out/Oscillator.sdf rename to tests/altium_crap/Circuit Sim/Oscillator/Out/Oscillator.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Capacitor.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/Capacitor/CustomCap.mdl b/tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/CustomCap.mdl similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Capacitor/CustomCap.mdl rename to tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/CustomCap.mdl diff --git a/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/Capacitor/Out/Capacitor.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.mdl b/tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.mdl similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.mdl rename to tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Diode.mdl diff --git a/altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/Diode/Out/Diode.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Global Params.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/Global Parameters/Out/Global Params.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Inductor.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/Out/Inductor.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/Inductor/pspiceIND.mdl b/tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/pspiceIND.mdl similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Inductor/pspiceIND.mdl rename to tests/altium_crap/Circuit Sim/PSpice Examples/Inductor/pspiceIND.mdl diff --git a/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.mdl b/tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.mdl similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.mdl rename to tests/altium_crap/Circuit Sim/PSpice Examples/JFET/JFET.mdl diff --git a/altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/JFET/Out/JFET.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/Out/PSpice Switch.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/PSpice Switch.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/SwitchMod.mdl b/tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/SwitchMod.mdl similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/PSpice switch/SwitchMod.mdl rename to tests/altium_crap/Circuit Sim/PSpice Examples/PSpice switch/SwitchMod.mdl diff --git a/altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Out/Resistor.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/Resistor/PSpiceResistor.mdl b/tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/PSpiceResistor.mdl similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Resistor/PSpiceResistor.mdl rename to tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/PSpiceResistor.mdl diff --git a/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/Resistor/Resistor.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.SCHDOC b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.SCHDOC similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.SCHDOC rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/EPOLY.SCHDOC diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/ESRC_POLY.ckt b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/ESRC_POLY.ckt similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/ESRC_POLY.ckt rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/ESRC_POLY.ckt diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - POLY/Out/EPOLY.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/ESRC_TABLE.ckt b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/ESRC_TABLE.ckt similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/ESRC_TABLE.ckt rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/ESRC_TABLE.ckt diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Out/TABLE.sdf diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/TABLE.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Table.SCHDOC b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Table.SCHDOC similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Table.SCHDOC rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Table/Table.SCHDOC diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/ESRC_VALUE.ckt b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/ESRC_VALUE.ckt similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/ESRC_VALUE.ckt rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/ESRC_VALUE.ckt diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCB b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCB rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCB diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.SchDoc b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.SchDoc rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/EVALUE.SchDoc diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.nsx b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.nsx similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.nsx rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.nsx diff --git a/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.sdf b/tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.sdf similarity index 100% rename from altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.sdf rename to tests/altium_crap/Circuit Sim/PSpice Examples/VCVS - Value/Out/EVALUE.sdf diff --git a/altium_crap/Circuit Sim/PWL File/Out/PWL File.sdf b/tests/altium_crap/Circuit Sim/PWL File/Out/PWL File.sdf similarity index 100% rename from altium_crap/Circuit Sim/PWL File/Out/PWL File.sdf rename to tests/altium_crap/Circuit Sim/PWL File/Out/PWL File.sdf diff --git a/altium_crap/Circuit Sim/PWL File/PWL File.PrjPCB b/tests/altium_crap/Circuit Sim/PWL File/PWL File.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/PWL File/PWL File.PrjPCB rename to tests/altium_crap/Circuit Sim/PWL File/PWL File.PrjPCB diff --git a/altium_crap/Circuit Sim/PWL File/PWL File.PrjPCBStructure b/tests/altium_crap/Circuit Sim/PWL File/PWL File.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/PWL File/PWL File.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/PWL File/PWL File.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/PWL File/PWL File.SchDoc b/tests/altium_crap/Circuit Sim/PWL File/PWL File.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/PWL File/PWL File.SchDoc rename to tests/altium_crap/Circuit Sim/PWL File/PWL File.SchDoc diff --git a/altium_crap/Circuit Sim/PWL File/pwl1.pwl b/tests/altium_crap/Circuit Sim/PWL File/pwl1.pwl similarity index 100% rename from altium_crap/Circuit Sim/PWL File/pwl1.pwl rename to tests/altium_crap/Circuit Sim/PWL File/pwl1.pwl diff --git a/altium_crap/Circuit Sim/Peak Detector/1N914.mdl b/tests/altium_crap/Circuit Sim/Peak Detector/1N914.mdl similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/1N914.mdl rename to tests/altium_crap/Circuit Sim/Peak Detector/1N914.mdl diff --git a/altium_crap/Circuit Sim/Peak Detector/2N2222.mdl b/tests/altium_crap/Circuit Sim/Peak Detector/2N2222.mdl similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/2N2222.mdl rename to tests/altium_crap/Circuit Sim/Peak Detector/2N2222.mdl diff --git a/altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.nsx b/tests/altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.nsx similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.nsx rename to tests/altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.nsx diff --git a/altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.sdf b/tests/altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.sdf similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.sdf rename to tests/altium_crap/Circuit Sim/Peak Detector/Out/Peak Detector.sdf diff --git a/altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCB b/tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCB rename to tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCB diff --git a/altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Peak Detector/Peak Detector.cfg b/tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.cfg similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/Peak Detector.cfg rename to tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.cfg diff --git a/altium_crap/Circuit Sim/Peak Detector/Peak Detector.schdoc b/tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/Peak Detector.schdoc rename to tests/altium_crap/Circuit Sim/Peak Detector/Peak Detector.schdoc diff --git a/altium_crap/Circuit Sim/Peak Detector/UA741.ckt b/tests/altium_crap/Circuit Sim/Peak Detector/UA741.ckt similarity index 100% rename from altium_crap/Circuit Sim/Peak Detector/UA741.ckt rename to tests/altium_crap/Circuit Sim/Peak Detector/UA741.ckt diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.nsx b/tests/altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.nsx similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.nsx rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.nsx diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.sdf b/tests/altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.sdf similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.sdf rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/Out/Phase Lock Loop.sdf diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/PLL100k.ckt b/tests/altium_crap/Circuit Sim/Phase Lock Loop/PLL100k.ckt similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/PLL100k.ckt rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/PLL100k.ckt diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCB b/tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCB rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCB diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.cfg b/tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.cfg similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.cfg rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.cfg diff --git a/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.schdoc b/tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.schdoc rename to tests/altium_crap/Circuit Sim/Phase Lock Loop/Phase Lock Loop.schdoc diff --git a/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCB b/tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCB rename to tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCB diff --git a/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchDoc b/tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchDoc rename to tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchDoc diff --git a/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchLib b/tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchLib similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchLib rename to tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Bandpass.SchLib diff --git a/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.nsx b/tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.nsx similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.nsx rename to tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.nsx diff --git a/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.sdf b/tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.sdf similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.sdf rename to tests/altium_crap/Circuit Sim/Pole-Zero/Bandpass/Out/Bandpass.sdf diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.nsx b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.nsx similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.nsx rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.nsx diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.sdf b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.sdf similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.sdf rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Out/Simple PZ.sdf diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCB b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCB rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCB diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.SchDoc b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.SchDoc rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple PZ/Simple PZ.SchDoc diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.nsx b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.nsx similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.nsx rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.nsx diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.sdf b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.sdf similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.sdf rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Out/Simple RC.sdf diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCB b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCB rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCB diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.SchDoc b/tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.SchDoc rename to tests/altium_crap/Circuit Sim/Pole-Zero/Simple RC/Simple RC.SchDoc diff --git a/altium_crap/Circuit Sim/Potentiometer Sweep/Band-Pass Filter.SchDoc b/tests/altium_crap/Circuit Sim/Potentiometer Sweep/Band-Pass Filter.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Potentiometer Sweep/Band-Pass Filter.SchDoc rename to tests/altium_crap/Circuit Sim/Potentiometer Sweep/Band-Pass Filter.SchDoc diff --git a/altium_crap/Circuit Sim/Potentiometer Sweep/Out/Potentiometer Sweep.sdf b/tests/altium_crap/Circuit Sim/Potentiometer Sweep/Out/Potentiometer Sweep.sdf similarity index 100% rename from altium_crap/Circuit Sim/Potentiometer Sweep/Out/Potentiometer Sweep.sdf rename to tests/altium_crap/Circuit Sim/Potentiometer Sweep/Out/Potentiometer Sweep.sdf diff --git a/altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCB b/tests/altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCB rename to tests/altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCB diff --git a/altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Potentiometer Sweep/Potentiometer Sweep.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Power Supply/10TO1.ckt b/tests/altium_crap/Circuit Sim/Power Supply/10TO1.ckt similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/10TO1.ckt rename to tests/altium_crap/Circuit Sim/Power Supply/10TO1.ckt diff --git a/altium_crap/Circuit Sim/Power Supply/18DB10.ckt b/tests/altium_crap/Circuit Sim/Power Supply/18DB10.ckt similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/18DB10.ckt rename to tests/altium_crap/Circuit Sim/Power Supply/18DB10.ckt diff --git a/altium_crap/Circuit Sim/Power Supply/1N4736.mdl b/tests/altium_crap/Circuit Sim/Power Supply/1N4736.mdl similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/1N4736.mdl rename to tests/altium_crap/Circuit Sim/Power Supply/1N4736.mdl diff --git a/altium_crap/Circuit Sim/Power Supply/2N2222A.mdl b/tests/altium_crap/Circuit Sim/Power Supply/2N2222A.mdl similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/2N2222A.mdl rename to tests/altium_crap/Circuit Sim/Power Supply/2N2222A.mdl diff --git a/altium_crap/Circuit Sim/Power Supply/Out/Power Supply.nsx b/tests/altium_crap/Circuit Sim/Power Supply/Out/Power Supply.nsx similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/Out/Power Supply.nsx rename to tests/altium_crap/Circuit Sim/Power Supply/Out/Power Supply.nsx diff --git a/altium_crap/Circuit Sim/Power Supply/Out/Power Supply.sdf b/tests/altium_crap/Circuit Sim/Power Supply/Out/Power Supply.sdf similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/Out/Power Supply.sdf rename to tests/altium_crap/Circuit Sim/Power Supply/Out/Power Supply.sdf diff --git a/altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCB b/tests/altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCB rename to tests/altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCB diff --git a/altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Power Supply/Power Supply.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Power Supply/Power Supply.cfg b/tests/altium_crap/Circuit Sim/Power Supply/Power Supply.cfg similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/Power Supply.cfg rename to tests/altium_crap/Circuit Sim/Power Supply/Power Supply.cfg diff --git a/altium_crap/Circuit Sim/Power Supply/Power Supply.schdoc b/tests/altium_crap/Circuit Sim/Power Supply/Power Supply.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Power Supply/Power Supply.schdoc rename to tests/altium_crap/Circuit Sim/Power Supply/Power Supply.schdoc diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/2N6027.ckt b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/2N6027.ckt similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/2N6027.ckt rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/2N6027.ckt diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/LM301A.ckt b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/LM301A.ckt similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/LM301A.ckt rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/LM301A.ckt diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.nsx b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.nsx similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.nsx rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.nsx diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.sdf b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.sdf similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.sdf rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Out/Programmable Unijunction Transistor.sdf diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCB b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCB rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCB diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.cfg b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.cfg similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.cfg rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.cfg diff --git a/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.schdoc b/tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.schdoc rename to tests/altium_crap/Circuit Sim/Programmable Unijunction Transistor/Programmable Unijunction Transistor.schdoc diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/2N2219A.mdl b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/2N2219A.mdl similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/2N2219A.mdl rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/2N2219A.mdl diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/2N2905A.mdl b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/2N2905A.mdl similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/2N2905A.mdl rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/2N2905A.mdl diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.nsx b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.sdf b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Out/Push-Pull Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.cfg b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Push-Pull Amplifier/Push-Pull Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/12AX7.ckt b/tests/altium_crap/Circuit Sim/RIAA Amplifier/12AX7.ckt similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/12AX7.ckt rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/12AX7.ckt diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.nsx b/tests/altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.nsx rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.nsx diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.sdf b/tests/altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.sdf rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/Out/RIAA Amplifier.sdf diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.cfg b/tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.cfg rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.cfg diff --git a/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.schdoc b/tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/RIAA Amplifier/RIAA Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/4093.mdl b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/4093.mdl similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/4093.mdl rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/4093.mdl diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.nsx b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.nsx rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.nsx diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.sdf b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.sdf rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Out/Schmitt Trigger Oscillator.sdf diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCB b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCB rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCB diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.cfg b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.cfg rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.cfg diff --git a/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.schdoc b/tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.schdoc rename to tests/altium_crap/Circuit Sim/Schmitt Trigger Oscillator/Schmitt Trigger Oscillator.schdoc diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.net b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.net similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.net rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.net diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.sdf b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.sdf similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.sdf rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Project Outputs for Self-Oscillating Converter/Self-Oscillating Converter.sdf diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/SIMPLIS Devices.IntLib b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/SIMPLIS Devices.IntLib similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/SIMPLIS Devices.IntLib rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/SIMPLIS Devices.IntLib diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/SIMetrix Devices.IntLib b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/SIMetrix Devices.IntLib similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/SIMetrix Devices.IntLib rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/SIMetrix Devices.IntLib diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCB b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCB similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCB rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCB diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCBStructure b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCBStructure rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.PrjPCBStructure diff --git a/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.SchDoc b/tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.SchDoc similarity index 100% rename from altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.SchDoc rename to tests/altium_crap/Circuit Sim/Self-Oscillating Converter/Self-Oscillating Converter.SchDoc diff --git a/altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.nsx b/tests/altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.nsx similarity index 100% rename from altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.nsx rename to tests/altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.nsx diff --git a/altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.sdf b/tests/altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.sdf similarity index 100% rename from altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.sdf rename to tests/altium_crap/Circuit Sim/Simple RC Circuit/Out/Simple RC Circuit.sdf diff --git a/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCB b/tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCB rename to tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCB diff --git a/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.cfg b/tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.cfg similarity index 100% rename from altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.cfg rename to tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.cfg diff --git a/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.schdoc b/tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.schdoc rename to tests/altium_crap/Circuit Sim/Simple RC Circuit/Simple RC Circuit.schdoc diff --git a/altium_crap/Circuit Sim/State Machine/74LS04.mdl b/tests/altium_crap/Circuit Sim/State Machine/74LS04.mdl similarity index 100% rename from altium_crap/Circuit Sim/State Machine/74LS04.mdl rename to tests/altium_crap/Circuit Sim/State Machine/74LS04.mdl diff --git a/altium_crap/Circuit Sim/State Machine/74LS08.mdl b/tests/altium_crap/Circuit Sim/State Machine/74LS08.mdl similarity index 100% rename from altium_crap/Circuit Sim/State Machine/74LS08.mdl rename to tests/altium_crap/Circuit Sim/State Machine/74LS08.mdl diff --git a/altium_crap/Circuit Sim/State Machine/74LS173.mdl b/tests/altium_crap/Circuit Sim/State Machine/74LS173.mdl similarity index 100% rename from altium_crap/Circuit Sim/State Machine/74LS173.mdl rename to tests/altium_crap/Circuit Sim/State Machine/74LS173.mdl diff --git a/altium_crap/Circuit Sim/State Machine/74LS32.mdl b/tests/altium_crap/Circuit Sim/State Machine/74LS32.mdl similarity index 100% rename from altium_crap/Circuit Sim/State Machine/74LS32.mdl rename to tests/altium_crap/Circuit Sim/State Machine/74LS32.mdl diff --git a/altium_crap/Circuit Sim/State Machine/Out/State.nsx b/tests/altium_crap/Circuit Sim/State Machine/Out/State.nsx similarity index 100% rename from altium_crap/Circuit Sim/State Machine/Out/State.nsx rename to tests/altium_crap/Circuit Sim/State Machine/Out/State.nsx diff --git a/altium_crap/Circuit Sim/State Machine/Out/State.sdf b/tests/altium_crap/Circuit Sim/State Machine/Out/State.sdf similarity index 100% rename from altium_crap/Circuit Sim/State Machine/Out/State.sdf rename to tests/altium_crap/Circuit Sim/State Machine/Out/State.sdf diff --git a/altium_crap/Circuit Sim/State Machine/State.PRJPCB b/tests/altium_crap/Circuit Sim/State Machine/State.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/State Machine/State.PRJPCB rename to tests/altium_crap/Circuit Sim/State Machine/State.PRJPCB diff --git a/altium_crap/Circuit Sim/State Machine/State.PRJPCBStructure b/tests/altium_crap/Circuit Sim/State Machine/State.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/State Machine/State.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/State Machine/State.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/State Machine/State.cfg b/tests/altium_crap/Circuit Sim/State Machine/State.cfg similarity index 100% rename from altium_crap/Circuit Sim/State Machine/State.cfg rename to tests/altium_crap/Circuit Sim/State Machine/State.cfg diff --git a/altium_crap/Circuit Sim/State Machine/State.schdoc b/tests/altium_crap/Circuit Sim/State Machine/State.schdoc similarity index 100% rename from altium_crap/Circuit Sim/State Machine/State.schdoc rename to tests/altium_crap/Circuit Sim/State Machine/State.schdoc diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/2N2646.ckt b/tests/altium_crap/Circuit Sim/Unijunction Transistor/2N2646.ckt similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/2N2646.ckt rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/2N2646.ckt diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.nsx b/tests/altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.nsx similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.nsx rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.nsx diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.sdf b/tests/altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.sdf similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.sdf rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/Out/Unijunction Transistor.sdf diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCB b/tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCB rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCB diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.cfg b/tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.cfg similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.cfg rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.cfg diff --git a/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.schdoc b/tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.schdoc rename to tests/altium_crap/Circuit Sim/Unijunction Transistor/Unijunction Transistor.schdoc diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6L6GC.ckt b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6L6GC.ckt similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6L6GC.ckt rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6L6GC.ckt diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6SN7.ckt b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6SN7.ckt similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6SN7.ckt rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/6SN7.ckt diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199P.ckt b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199P.ckt similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199P.ckt rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199P.ckt diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199T.ckt b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199T.ckt similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199T.ckt rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/7199T.ckt diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.nsx b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.nsx similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.nsx rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.nsx diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.sdf b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.sdf similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.sdf rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Out/Vaccum-Tube Power Amplifier.sdf diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCB b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCB rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCB diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.cfg b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.cfg similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.cfg rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.cfg diff --git a/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.schdoc b/tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.schdoc rename to tests/altium_crap/Circuit Sim/Vaccum-Tube Power Amplifier/Vaccum-Tube Power Amplifier.schdoc diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.nsx b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.nsx similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.nsx rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.nsx diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.sdf b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.sdf similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.sdf rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Out/Voltage Controlled Oscillator.sdf diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/SQRVCO.ckt b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/SQRVCO.ckt similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/SQRVCO.ckt rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/SQRVCO.ckt diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCB b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCB similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCB rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCB diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCBStructure b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCBStructure similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCBStructure rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.PRJPCBStructure diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.cfg b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.cfg similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.cfg rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.cfg diff --git a/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.schdoc b/tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.schdoc similarity index 100% rename from altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.schdoc rename to tests/altium_crap/Circuit Sim/Voltage Controlled Oscillator/Voltage Controlled Oscillator.schdoc diff --git a/altium_crap/PCB Auto-Routing/BOARD 1.pcbdoc b/tests/altium_crap/PCB Auto-Routing/BOARD 1.pcbdoc similarity index 100% rename from altium_crap/PCB Auto-Routing/BOARD 1.pcbdoc rename to tests/altium_crap/PCB Auto-Routing/BOARD 1.pcbdoc diff --git a/altium_crap/PCB Auto-Routing/BOARD 2.pcbdoc b/tests/altium_crap/PCB Auto-Routing/BOARD 2.pcbdoc similarity index 100% rename from altium_crap/PCB Auto-Routing/BOARD 2.pcbdoc rename to tests/altium_crap/PCB Auto-Routing/BOARD 2.pcbdoc diff --git a/altium_crap/PCB Auto-Routing/BOARD 3.pcbdoc b/tests/altium_crap/PCB Auto-Routing/BOARD 3.pcbdoc similarity index 100% rename from altium_crap/PCB Auto-Routing/BOARD 3.pcbdoc rename to tests/altium_crap/PCB Auto-Routing/BOARD 3.pcbdoc diff --git a/altium_crap/PCB Auto-Routing/PCB Auto-Routing.PrjPCB b/tests/altium_crap/PCB Auto-Routing/PCB Auto-Routing.PrjPCB similarity index 100% rename from altium_crap/PCB Auto-Routing/PCB Auto-Routing.PrjPCB rename to tests/altium_crap/PCB Auto-Routing/PCB Auto-Routing.PrjPCB diff --git a/altium_crap/PCB Auto-Routing/Routed BOARD 1.pcbdoc b/tests/altium_crap/PCB Auto-Routing/Routed BOARD 1.pcbdoc similarity index 100% rename from altium_crap/PCB Auto-Routing/Routed BOARD 1.pcbdoc rename to tests/altium_crap/PCB Auto-Routing/Routed BOARD 1.pcbdoc diff --git a/altium_crap/PCB Auto-Routing/Routed BOARD 2.pcbdoc b/tests/altium_crap/PCB Auto-Routing/Routed BOARD 2.pcbdoc similarity index 100% rename from altium_crap/PCB Auto-Routing/Routed BOARD 2.pcbdoc rename to tests/altium_crap/PCB Auto-Routing/Routed BOARD 2.pcbdoc diff --git a/altium_crap/PCB Auto-Routing/Routed BOARD 3.pcbdoc b/tests/altium_crap/PCB Auto-Routing/Routed BOARD 3.pcbdoc similarity index 100% rename from altium_crap/PCB Auto-Routing/Routed BOARD 3.pcbdoc rename to tests/altium_crap/PCB Auto-Routing/Routed BOARD 3.pcbdoc diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/ClientServerInterfacesReport.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ClientServerInterfacesReport.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/ClientServerInterfacesReport.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ClientServerInterfacesReport.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersion.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersion.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersion.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersion.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersionUnit.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersionUnit.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersionUnit.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/DXPVersionUnit.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/DXP_Scripts.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/DXP_Scripts.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/DXP_Scripts.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/DXP_Scripts.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/ExtractFilesFromIntLibs.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ExtractFilesFromIntLibs.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/ExtractFilesFromIntLibs.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ExtractFilesFromIntLibs.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/IntLibSearchDemo.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/IntLibSearchDemo.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/IntLibSearchDemo.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/IntLibSearchDemo.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/OpenADoc.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/OpenADoc.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/OpenADoc.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/OpenADoc.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/ReportIntLibData.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ReportIntLibData.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/ReportIntLibData.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ReportIntLibData.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/ReportPCBViews.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ReportPCBViews.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/ReportPCBViews.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ReportPCBViews.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/ServerProcessReport.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ServerProcessReport.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/ServerProcessReport.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/ServerProcessReport.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/DXP/SettingDocumentDirty.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/DXP/SettingDocumentDirty.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/DXP/SettingDocumentDirty.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/DXP/SettingDocumentDirty.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/CheckNameValueUnit.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/CheckNameValueUnit.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/CheckNameValueUnit.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/CheckNameValueUnit.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/FPGASwapIds_Project1.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/FPGASwapIds_Project1.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/FPGASwapIds_Project1.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/FPGASwapIds_Project1.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/FPGA/SwapIdsUpdater/UpdateConstraintUnit.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/General_Scripts.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/General/General_Scripts.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/General_Scripts.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/General_Scripts.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorld.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/HelloWorldDialog.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/IniFileEg.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/General/IniFileEg.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/IniFileEg.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/IniFileEg.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/MandelBrot.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/Protel.avi b/tests/altium_crap/Scripts/Delphiscript Scripts/General/Protel.avi similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/Protel.avi rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/Protel.avi diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/ShowModalEg.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/TextFileConvert.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/UpdateTime.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/Zipper.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/General/Zipper.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/Zipper.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/Zipper.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/ZipperForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/input.txt b/tests/altium_crap/Scripts/Delphiscript Scripts/General/input.txt similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/input.txt rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/input.txt diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/mm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/mm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/mm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/mm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/mm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/mm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/mm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/mm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/sinewave.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/sinewave.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/sinewave.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/sinewave.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/sinewave.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/sinewave.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/sinewave.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/sinewave.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/tictac.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/General/tictac.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/tictac.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/tictac.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/General/tictac.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/General/tictac.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/General/tictac.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/General/tictac.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutline.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutline.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutline.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutline.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/CopyBoardOutlineForm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/LayerComboBox.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/LayerComboBox.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/LayerComboBox.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/BoardOutline Copier/LayerComboBox.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Count_Connection_Lines.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Count_Connection_Lines.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Count_Connection_Lines.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Count_Connection_Lines.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateAVia.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateAVia.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateAVia.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateAVia.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateComponentOnPCB.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateComponentOnPCB.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateComponentOnPCB.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateComponentOnPCB.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateFootprintInLibrary.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateFootprintInLibrary.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateFootprintInLibrary.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateFootprintInLibrary.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreatePCBObjects.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreatePCBObjects.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreatePCBObjects.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreatePCBObjects.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructContourSetFromPicture.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructContourSetFromPicture.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructContourSetFromPicture.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructContourSetFromPicture.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructRegionsFromContourSet.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructRegionsFromContourSet.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructRegionsFromContourSet.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConstructRegionsFromContourSet.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/ConvertPicture.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/PCBPictureCreator.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/PCBPictureCreator.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/PCBPictureCreator.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/PCBPictureCreator.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/altiumlogo.bmp b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/altiumlogo.bmp similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/altiumlogo.bmp rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRegionsFromBitmap/altiumlogo.bmp diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRules.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRules.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRules.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/CreateRules.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Cycle_Pcb_Cursor_Type.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Cycle_Pcb_Cursor_Type.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Cycle_Pcb_Cursor_Type.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Cycle_Pcb_Cursor_Type.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/DeletePCBObjects.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/DeletePCBObjects.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/DeletePCBObjects.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/DeletePCBObjects.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/EmbeddedObjects.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/EmbeddedObjects.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/EmbeddedObjects.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/EmbeddedObjects.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FindFootprintUnit.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FootprintFinder.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FootprintFinder.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FootprintFinder.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FootPrint Finder/FootprintFinder.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/CreateInterComponentFromTos.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/CreateInterComponentFromTos.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/CreateInterComponentFromTos.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/CreateInterComponentFromTos.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/FromTosGenerator.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/FromTosGenerator.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/FromTosGenerator.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/FromTosGenerator.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/FromTos Generator/formFormTos.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Customsort.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Customsort.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Customsort.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Customsort.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSEditForm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/HSForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Hole Size Editor.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Hole Size Editor.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Hole Size Editor.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Hole Size Editor/Hole Size Editor.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMExportDlg.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MMSetupDlg.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Mill Exporter/MillExporter.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/NetObjectAssign.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/NetObjectAssign.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/NetObjectAssign.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/NetObjectAssign.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Class Generator/PCB_Class_Generator_Form.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/CountTracksInComponent.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/CountTracksInComponent.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/CountTracksInComponent.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/CountTracksInComponent.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Count_Pads.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Count_Pads.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Count_Pads.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Count_Pads.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateComponentBodies.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateComponentBodies.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateComponentBodies.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateComponentBodies.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateNets.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateNets.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateNets.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateNets.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IteratePolygons.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IteratePolygons.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IteratePolygons.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IteratePolygons.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateRegions.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateRegions.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateRegions.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/IterateRegions.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/LibraryIterator.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/LibraryIterator.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/LibraryIterator.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/LibraryIterator.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/PCB_Iterators.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/PCB_Iterators.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/PCB_Iterators.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/PCB_Iterators.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Polygons.PcbDoc b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Polygons.PcbDoc similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Polygons.PcbDoc rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/Polygons.PcbDoc diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/SpatialIterator.Pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/SpatialIterator.Pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/SpatialIterator.Pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Iterators/SpatialIterator.Pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Layers_Info.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Layers_Info.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Layers_Info.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Layers_Info.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/PCB_Layers.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/PCB_Layers.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/PCB_Layers.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/PCB_Layers.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerPairs.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerPairs.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerPairs.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerPairs.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerStack.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerStack.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerStack.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayerStack.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayersOfMechType.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayersOfMechType.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayersOfMechType.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryLayersOfMechType.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryMechLayers.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryMechLayers.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryMechLayers.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryMechLayers.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryUsedLayers.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryUsedLayers.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryUsedLayers.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/QueryUsedLayers.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/RebuildInternalAndSplitPlanes.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/RebuildInternalAndSplitPlanes.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/RebuildInternalAndSplitPlanes.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/RebuildInternalAndSplitPlanes.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Toggle_Connect_layer_display.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Toggle_Connect_layer_display.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Toggle_Connect_layer_display.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/Toggle_Connect_layer_display.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/ValidateLayerStack.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/ValidateLayerStack.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/ValidateLayerStack.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Layers/ValidateLayerStack.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/Converter.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/LayerComboBox.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/LayerComboBox.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/LayerComboBox.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/LayerComboBox.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/PCBLogoCreator.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/PCBLogoCreator.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/PCBLogoCreator.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/PCBLogoCreator.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/altiumlogo.bmp b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/altiumlogo.bmp similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/altiumlogo.bmp rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/altiumlogo.bmp diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test1.bmp b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test1.bmp similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test1.bmp rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test1.bmp diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test2.bmp b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test2.bmp similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test2.bmp rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Logo Creator/test2.bmp diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjects.Pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjects.Pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjects.Pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjects.Pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/OffSetObjectsForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/PCBObjectsMover.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/PCBObjectsMover.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/PCBObjectsMover.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Objects Mover/PCBObjectsMover.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/GetObjectClasses.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputGenerator.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputGenerator.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputGenerator.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputGenerator.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputsProject.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputsProject.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputsProject.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/PCBOutputsProject.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/Violations.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/Violations.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/Violations.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Outputs/Violations.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/IterateRules.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/IterateRules.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/IterateRules.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/IterateRules.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/ModifyWidthRules.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/ModifyWidthRules.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/ModifyWidthRules.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/ModifyWidthRules.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/PCBRules.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/PCBRules.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/PCBRules.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB Rules/PCBRules.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfo.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfo.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfo.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfo.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfoReport.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfoReport.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfoReport.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCBLIB Outputs/FootprintInfoReport.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB_Scripts.prjscr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB_Scripts.prjscr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PCB_Scripts.prjscr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PCB_Scripts.prjscr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PadStackInfo.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PadStackInfo.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PadStackInfo.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PadStackInfo.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaCacheProperties.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaCacheProperties.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaCacheProperties.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaCacheProperties.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaExamples.PcbDoc b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaExamples.PcbDoc similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaExamples.PcbDoc rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/PadViaExamples.PcbDoc diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/QueryBoard.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/QueryBoard.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/QueryBoard.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/QueryBoard.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapComponentsUnit.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapComponentsUnit.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapComponentsUnit.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapComponentsUnit.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapOrRotateComponents.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapOrRotateComponents.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapOrRotateComponents.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/SwapOrRotateComponents/SwapOrRotateComponents.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Form_ChooseNetClass.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Unroute Net Class.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Unroute Net Class.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Unroute Net Class.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/UnRoute Net Class/Unroute Net Class.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Undo.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Undo.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Undo.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Undo.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/Common.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/Common.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/Common.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/Common.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/ObjectChecker.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/ObjectChecker.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/ObjectChecker.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/ObjectChecker.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/UniqueObjectChecker.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/UniqueObjectChecker.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/UniqueObjectChecker.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Unique Object Checker/UniqueObjectChecker.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/PCBAPI functions.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/PCBAPI functions.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/PCBAPI functions.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/PCBAPI functions.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesChecker.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesChecker.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesChecker.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesChecker.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/PCB/Values Checker/ValuesCheckerUnit.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/CirWiz.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins1.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins1.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins1.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins1.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins2.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins2.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins2.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Clrins2.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/ImportWaveforms.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/ImportWaveforms.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/ImportWaveforms.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/ImportWaveforms.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/PlacePCBPolygon.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/PlacePCBPolygon.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/PlacePCBPolygon.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/PlacePCBPolygon.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/PlaceSchPort.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/PlaceSchPort.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/PlaceSchPort.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/PlaceSchPort.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/Process_Scripts.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Process_Scripts.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/Process_Scripts.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Process_Scripts.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/PublishToPDFScript.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/PublishToPDFScript.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/PublishToPDFScript.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/PublishToPDFScript.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/Quikcopy.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Quikcopy.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/Quikcopy.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/Quikcopy.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/RunCommonDialog.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/RunCommonDialog.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/RunCommonDialog.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/RunCommonDialog.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/ShowNetList.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/ShowNetList.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/ShowNetList.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/ShowNetList.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/SimpleExample.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/SimpleExample.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/SimpleExample.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/SimpleExample.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/pcbcolor.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/pcbcolor.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/pcbcolor.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/pcbcolor.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/Processes/pcblayer.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/Processes/pcblayer.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/Processes/pcblayer.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/Processes/pcblayer.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/AddModelToComponentScript.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/AddModelToComponentScript.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/AddModelToComponentScript.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/AddModelToComponentScript.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/DesignSettings.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/DesignSettings.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/DesignSettings.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/DesignSettings.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/ImportUtils.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/ImportUtils.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/ImportUtils.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/ImportUtils.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/InputForm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Log.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Log.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Log.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Log.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/SchTranslator.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/SchTranslator.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/SchTranslator.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/SchTranslator.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/StringUtils.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/StringUtils.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/StringUtils.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/StringUtils.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Test.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Test.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Test.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Circad Translator/Test.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/CompLibReader.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompLibReader.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/CompLibReader.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompLibReader.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ComponentReplacer.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ComponentReplacer.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ComponentReplacer.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ComponentReplacer.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CompReplace/ReplaceSelectedComponent.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/Connectivity.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/Connectivity.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/Connectivity.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/Connectivity.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/DefnForm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/NetInfoPrj.PrjPCB b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/NetInfoPrj.PrjPCB similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/NetInfoPrj.PrjPCB rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Connectivity/NetInfoPrj.PrjPCB diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/CountPorts.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CountPorts.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/CountPorts.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CountPorts.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/CreateSchObjects.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CreateSchObjects.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/CreateSchObjects.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/CreateSchObjects.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/DeleteSchObjects.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/DeleteSchObjects.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/DeleteSchObjects.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/DeleteSchObjects.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParameters.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParameters.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParameters.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParameters.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParamsOfComp.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParamsOfComp.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParamsOfComp.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchParamsOfComp.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchPinsOfComps.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchPinsOfComps.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/FetchPinsOfComps.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/FetchPinsOfComps.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/Fonts Editor.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/Fonts Editor.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/Fonts Editor.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/Fonts Editor.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Font Editor/FontsDialog.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Form_AlignPins.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ChangeMappingForm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ConvUtils.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ConvUtils.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ConvUtils.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ConvUtils.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPins.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPins.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPins.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPins.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/ImportPinsForm.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/PinData.csv b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/PinData.csv similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/PinData.csv rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Import Pins/PinData.csv diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/IterateSchSheets.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/IterateSchSheets.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/IterateSchSheets.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/IterateSchSheets.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/ModifySchObjects.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/ModifySchObjects.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/ModifySchObjects.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/ModifySchObjects.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/MoveParameters.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/MoveParameters.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/MoveParameters.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/MoveParameters.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/ParametersOfSymbolsInALibrary.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/ParametersOfSymbolsInALibrary.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/ParametersOfSymbolsInALibrary.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/ParametersOfSymbolsInALibrary.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceAPort.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceAPort.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceAPort.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceAPort.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceSchObjects.PAS b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceSchObjects.PAS similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceSchObjects.PAS rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/PlaceSchObjects.PAS diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/ReplaceSchObjects.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/ReplaceSchObjects.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/ReplaceSchObjects.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/ReplaceSchObjects.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/SchDocsRenamer.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SchDocsRenamer.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/SchDocsRenamer.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SchDocsRenamer.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/SchLib_Scripts.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SchLib_Scripts.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/SchLib_Scripts.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SchLib_Scripts.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/Sch_Scripts.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Sch_Scripts.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/Sch_Scripts.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/Sch_Scripts.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/SimModelsOfComponents.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SimModelsOfComponents.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/SimModelsOfComponents.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SimModelsOfComponents.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/SymbolPrimsIterator.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SymbolPrimsIterator.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/SymbolPrimsIterator.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/SymbolPrimsIterator.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UndoRedo.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UndoRedo.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UndoRedo.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UndoRedo.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/FootprintPathUpdater.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/FootprintPathUpdater.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/FootprintPathUpdater.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/FootprintPathUpdater.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UpdateFootprintLocations/UpdateFootprintPathsOfComps.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/ComponentParameters.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/ComponentParameters.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/ComponentParameters.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/ComponentParameters.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/UserDefinedCompParameters.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/UserDefinedCompParameters.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/UserDefinedCompParameters.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UserDefinedParameters/UserDefinedCompParameters.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/UsingSchInterfaces.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UsingSchInterfaces.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/UsingSchInterfaces.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/UsingSchInterfaces.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/checkpins.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/checkpins.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/checkpins.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/checkpins.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/createcomp_in_lib.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/createcomp_in_lib.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/createcomp_in_lib.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/createcomp_in_lib.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/createcomponent_on_sch.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/createcomponent_on_sch.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/createcomponent_on_sch.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/createcomponent_on_sch.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/libiterator.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/libiterator.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/libiterator.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/libiterator.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/modelsofacomponent.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/modelsofacomponent.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/modelsofacomponent.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/modelsofacomponent.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/parentchild_iterators.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/parentchild_iterators.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/parentchild_iterators.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/parentchild_iterators.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/usingAspatialiterator.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/usingAspatialiterator.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/usingAspatialiterator.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/usingAspatialiterator.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/SCH/usingWSMInterfaces.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/SCH/usingWSMInterfaces.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/SCH/usingWSMInterfaces.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/SCH/usingWSMInterfaces.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/AddDocToProject.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/AddDocToProject.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/AddDocToProject.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/AddDocToProject.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.PrjScr diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.dfm b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.dfm similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.dfm rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.dfm diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Export To Agile/AgileBOMV1.1.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/Netlister.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Netlister.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/Netlister.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Netlister.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/ProjectReporter.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/ProjectReporter.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/ProjectReporter.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/ProjectReporter.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.PRJSCR b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.PRJSCR similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.PRJSCR rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.PRJSCR diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/Protel Netlister/ScripterProtelNetlist.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/UsingMessagePanel.pas b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/UsingMessagePanel.pas similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/UsingMessagePanel.pas rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/UsingMessagePanel.pas diff --git a/altium_crap/Scripts/Delphiscript Scripts/WSM/WSM_Scripts.PrjScr b/tests/altium_crap/Scripts/Delphiscript Scripts/WSM/WSM_Scripts.PrjScr similarity index 100% rename from altium_crap/Scripts/Delphiscript Scripts/WSM/WSM_Scripts.PrjScr rename to tests/altium_crap/Scripts/Delphiscript Scripts/WSM/WSM_Scripts.PrjScr diff --git a/altium_crap/Scripts/EnableBasic Scripts/CirWiz.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/CirWiz.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/CirWiz.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/CirWiz.bas diff --git a/altium_crap/Scripts/EnableBasic Scripts/Clrins1.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/Clrins1.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/Clrins1.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/Clrins1.bas diff --git a/altium_crap/Scripts/EnableBasic Scripts/Clrins2.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/Clrins2.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/Clrins2.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/Clrins2.bas diff --git a/altium_crap/Scripts/EnableBasic Scripts/EnableBasic_Scripts.PrjScr b/tests/altium_crap/Scripts/EnableBasic Scripts/EnableBasic_Scripts.PrjScr similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/EnableBasic_Scripts.PrjScr rename to tests/altium_crap/Scripts/EnableBasic Scripts/EnableBasic_Scripts.PrjScr diff --git a/altium_crap/Scripts/EnableBasic Scripts/PcbColor.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/PcbColor.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/PcbColor.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/PcbColor.bas diff --git a/altium_crap/Scripts/EnableBasic Scripts/PcbLayer.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/PcbLayer.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/PcbLayer.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/PcbLayer.bas diff --git a/altium_crap/Scripts/EnableBasic Scripts/PlaceSchPort.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/PlaceSchPort.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/PlaceSchPort.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/PlaceSchPort.bas diff --git a/altium_crap/Scripts/EnableBasic Scripts/Quikcopy.bas b/tests/altium_crap/Scripts/EnableBasic Scripts/Quikcopy.bas similarity index 100% rename from altium_crap/Scripts/EnableBasic Scripts/Quikcopy.bas rename to tests/altium_crap/Scripts/EnableBasic Scripts/Quikcopy.bas diff --git a/altium_crap/Scripts/JScript Scripts/AddDocToProject.js b/tests/altium_crap/Scripts/JScript Scripts/AddDocToProject.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/AddDocToProject.js rename to tests/altium_crap/Scripts/JScript Scripts/AddDocToProject.js diff --git a/altium_crap/Scripts/JScript Scripts/AddDocToProjectPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/AddDocToProjectPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/AddDocToProjectPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/AddDocToProjectPRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/BuiltInFunctions.js b/tests/altium_crap/Scripts/JScript Scripts/BuiltInFunctions.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/BuiltInFunctions.js rename to tests/altium_crap/Scripts/JScript Scripts/BuiltInFunctions.js diff --git a/altium_crap/Scripts/JScript Scripts/BuiltInFunctionsPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/BuiltInFunctionsPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/BuiltInFunctionsPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/BuiltInFunctionsPRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.dfm b/tests/altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.dfm similarity index 100% rename from altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.dfm rename to tests/altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.dfm diff --git a/altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.js b/tests/altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.js rename to tests/altium_crap/Scripts/JScript Scripts/CopyBoardOutlineForm.js diff --git a/altium_crap/Scripts/JScript Scripts/CopyBoardOutlinePRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/CopyBoardOutlinePRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/CopyBoardOutlinePRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/CopyBoardOutlinePRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/GetScriptEngineInfo.js b/tests/altium_crap/Scripts/JScript Scripts/GetScriptEngineInfo.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/GetScriptEngineInfo.js rename to tests/altium_crap/Scripts/JScript Scripts/GetScriptEngineInfo.js diff --git a/altium_crap/Scripts/JScript Scripts/GetScriptEngineInfoPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/GetScriptEngineInfoPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/GetScriptEngineInfoPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/GetScriptEngineInfoPRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/HelloWorld.js b/tests/altium_crap/Scripts/JScript Scripts/HelloWorld.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/HelloWorld.js rename to tests/altium_crap/Scripts/JScript Scripts/HelloWorld.js diff --git a/altium_crap/Scripts/JScript Scripts/HelloWorldPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/HelloWorldPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/HelloWorldPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/HelloWorldPRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/ParentChild_Iterators.js b/tests/altium_crap/Scripts/JScript Scripts/ParentChild_Iterators.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/ParentChild_Iterators.js rename to tests/altium_crap/Scripts/JScript Scripts/ParentChild_Iterators.js diff --git a/altium_crap/Scripts/JScript Scripts/ParentChild_IteratorsPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/ParentChild_IteratorsPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/ParentChild_IteratorsPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/ParentChild_IteratorsPRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/ServerProcessReport.js b/tests/altium_crap/Scripts/JScript Scripts/ServerProcessReport.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/ServerProcessReport.js rename to tests/altium_crap/Scripts/JScript Scripts/ServerProcessReport.js diff --git a/altium_crap/Scripts/JScript Scripts/ServerProcessReportPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/ServerProcessReportPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/ServerProcessReportPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/ServerProcessReportPRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/SineWave.dfm b/tests/altium_crap/Scripts/JScript Scripts/SineWave.dfm similarity index 100% rename from altium_crap/Scripts/JScript Scripts/SineWave.dfm rename to tests/altium_crap/Scripts/JScript Scripts/SineWave.dfm diff --git a/altium_crap/Scripts/JScript Scripts/SineWave.js b/tests/altium_crap/Scripts/JScript Scripts/SineWave.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/SineWave.js rename to tests/altium_crap/Scripts/JScript Scripts/SineWave.js diff --git a/altium_crap/Scripts/JScript Scripts/SineWavePRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/SineWavePRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/SineWavePRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/SineWavePRJ.PRJSCR diff --git a/altium_crap/Scripts/JScript Scripts/ViaCreation.js b/tests/altium_crap/Scripts/JScript Scripts/ViaCreation.js similarity index 100% rename from altium_crap/Scripts/JScript Scripts/ViaCreation.js rename to tests/altium_crap/Scripts/JScript Scripts/ViaCreation.js diff --git a/altium_crap/Scripts/JScript Scripts/ViaCreationPRJ.PRJSCR b/tests/altium_crap/Scripts/JScript Scripts/ViaCreationPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/JScript Scripts/ViaCreationPRJ.PRJSCR rename to tests/altium_crap/Scripts/JScript Scripts/ViaCreationPRJ.PRJSCR diff --git a/altium_crap/Scripts/Query Scripts/SimpleExpression.pas b/tests/altium_crap/Scripts/Query Scripts/SimpleExpression.pas similarity index 100% rename from altium_crap/Scripts/Query Scripts/SimpleExpression.pas rename to tests/altium_crap/Scripts/Query Scripts/SimpleExpression.pas diff --git a/altium_crap/Scripts/Query Scripts/UsingFilterPanel.PrjScr b/tests/altium_crap/Scripts/Query Scripts/UsingFilterPanel.PrjScr similarity index 100% rename from altium_crap/Scripts/Query Scripts/UsingFilterPanel.PrjScr rename to tests/altium_crap/Scripts/Query Scripts/UsingFilterPanel.PrjScr diff --git a/altium_crap/Scripts/VB Scripts/AddDocToProject.vbs b/tests/altium_crap/Scripts/VB Scripts/AddDocToProject.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/AddDocToProject.vbs rename to tests/altium_crap/Scripts/VB Scripts/AddDocToProject.vbs diff --git a/altium_crap/Scripts/VB Scripts/AddDocToProjectPRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/AddDocToProjectPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/AddDocToProjectPRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/AddDocToProjectPRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.dfm b/tests/altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.dfm similarity index 100% rename from altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.dfm rename to tests/altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.dfm diff --git a/altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.vbs b/tests/altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.vbs rename to tests/altium_crap/Scripts/VB Scripts/CopyBoardOutlineForm.vbs diff --git a/altium_crap/Scripts/VB Scripts/CopyBoardOutlinePRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/CopyBoardOutlinePRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/CopyBoardOutlinePRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/CopyBoardOutlinePRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/GetScriptEngineInfo.vbs b/tests/altium_crap/Scripts/VB Scripts/GetScriptEngineInfo.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/GetScriptEngineInfo.vbs rename to tests/altium_crap/Scripts/VB Scripts/GetScriptEngineInfo.vbs diff --git a/altium_crap/Scripts/VB Scripts/GetScriptEngineInfoPRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/GetScriptEngineInfoPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/GetScriptEngineInfoPRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/GetScriptEngineInfoPRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/HelloWorld.vbs b/tests/altium_crap/Scripts/VB Scripts/HelloWorld.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/HelloWorld.vbs rename to tests/altium_crap/Scripts/VB Scripts/HelloWorld.vbs diff --git a/altium_crap/Scripts/VB Scripts/HelloWorldPRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/HelloWorldPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/HelloWorldPRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/HelloWorldPRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/ParentChildIteratorsPRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/ParentChildIteratorsPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/ParentChildIteratorsPRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/ParentChildIteratorsPRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/ParentChild_Iterators.vbs b/tests/altium_crap/Scripts/VB Scripts/ParentChild_Iterators.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/ParentChild_Iterators.vbs rename to tests/altium_crap/Scripts/VB Scripts/ParentChild_Iterators.vbs diff --git a/altium_crap/Scripts/VB Scripts/ServerProcessReport.vbs b/tests/altium_crap/Scripts/VB Scripts/ServerProcessReport.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/ServerProcessReport.vbs rename to tests/altium_crap/Scripts/VB Scripts/ServerProcessReport.vbs diff --git a/altium_crap/Scripts/VB Scripts/ServerProcessReportPRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/ServerProcessReportPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/ServerProcessReportPRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/ServerProcessReportPRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/SineWave.dfm b/tests/altium_crap/Scripts/VB Scripts/SineWave.dfm similarity index 100% rename from altium_crap/Scripts/VB Scripts/SineWave.dfm rename to tests/altium_crap/Scripts/VB Scripts/SineWave.dfm diff --git a/altium_crap/Scripts/VB Scripts/SineWave.vbs b/tests/altium_crap/Scripts/VB Scripts/SineWave.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/SineWave.vbs rename to tests/altium_crap/Scripts/VB Scripts/SineWave.vbs diff --git a/altium_crap/Scripts/VB Scripts/SineWavePRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/SineWavePRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/SineWavePRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/SineWavePRJ.PRJSCR diff --git a/altium_crap/Scripts/VB Scripts/ViaCreation.vbs b/tests/altium_crap/Scripts/VB Scripts/ViaCreation.vbs similarity index 100% rename from altium_crap/Scripts/VB Scripts/ViaCreation.vbs rename to tests/altium_crap/Scripts/VB Scripts/ViaCreation.vbs diff --git a/altium_crap/Scripts/VB Scripts/ViaCreationPRJ.PRJSCR b/tests/altium_crap/Scripts/VB Scripts/ViaCreationPRJ.PRJSCR similarity index 100% rename from altium_crap/Scripts/VB Scripts/ViaCreationPRJ.PRJSCR rename to tests/altium_crap/Scripts/VB Scripts/ViaCreationPRJ.PRJSCR diff --git a/altium_crap/Signal Integrity/Differential Pair/Constraint 1.Constraint b/tests/altium_crap/Signal Integrity/Differential Pair/Constraint 1.Constraint similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/Constraint 1.Constraint rename to tests/altium_crap/Signal Integrity/Differential Pair/Constraint 1.Constraint diff --git a/altium_crap/Signal Integrity/Differential Pair/Constraint 2.Constraint b/tests/altium_crap/Signal Integrity/Differential Pair/Constraint 2.Constraint similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/Constraint 2.Constraint rename to tests/altium_crap/Signal Integrity/Differential Pair/Constraint 2.Constraint diff --git a/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PCBDOC b/tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PCBDOC similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PCBDOC rename to tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PCBDOC diff --git a/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCB b/tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCB similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCB rename to tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCB diff --git a/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCBStructure b/tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCBStructure similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCBStructure rename to tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.PrjPCBStructure diff --git a/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.SchDoc b/tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/DifferentialPair.SchDoc rename to tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.SchDoc diff --git a/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.sdf b/tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.sdf similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/DifferentialPair.sdf rename to tests/altium_crap/Signal Integrity/Differential Pair/DifferentialPair.sdf diff --git a/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpg b/tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpg similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpg rename to tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpg diff --git a/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpgStructure b/tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpgStructure similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpgStructure rename to tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.PrjFpgStructure diff --git a/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.SchDoc b/tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.SchDoc rename to tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project1.SchDoc diff --git a/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpg b/tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpg similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpg rename to tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpg diff --git a/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpgStructure b/tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpgStructure similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpgStructure rename to tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.PrjFpgStructure diff --git a/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.SchDoc b/tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.SchDoc rename to tests/altium_crap/Signal Integrity/Differential Pair/FPGA_Project2.SchDoc diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_12mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_12mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_12mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_12mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_16mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_16mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_16mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_16mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_24mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_24mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_24mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_24mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_2mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_2mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_2mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_2mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_4mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_4mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_4mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_4mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_6mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_6mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_6mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_6mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_F_8mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_F_8mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_F_8mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_F_8mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_12mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_12mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_12mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_12mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_16mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_16mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_16mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_16mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_24mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_24mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_24mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_24mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_2mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_2mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_2mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_2mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_4mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_4mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_4mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_4mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_6mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_6mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_6mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_6mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/D0_S_8mA.csv b/tests/altium_crap/Signal Integrity/NBP-28/D0_S_8mA.csv similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/D0_S_8mA.csv rename to tests/altium_crap/Signal Integrity/NBP-28/D0_S_8mA.csv diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA.SCHDOC b/tests/altium_crap/Signal Integrity/NBP-28/FPGA.SCHDOC similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA.SCHDOC rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA.SCHDOC diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardTest_1.Constraint b/tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardTest_1.Constraint similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardTest_1.Constraint rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardTest_1.Constraint diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpg b/tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpg similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpg rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpg diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpgStructure b/tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpgStructure similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpgStructure rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.PrjFpgStructure diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.SchDoc b/tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.SchDoc rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA/IOStandardsTests.SchDoc diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA/ProjectOutputs/IOStandardsTests.VHD b/tests/altium_crap/Signal Integrity/NBP-28/FPGA/ProjectOutputs/IOStandardsTests.VHD similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA/ProjectOutputs/IOStandardsTests.VHD rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA/ProjectOutputs/IOStandardsTests.VHD diff --git a/altium_crap/Signal Integrity/NBP-28/FPGAProject_Top.SchDoc b/tests/altium_crap/Signal Integrity/NBP-28/FPGAProject_Top.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGAProject_Top.SchDoc rename to tests/altium_crap/Signal Integrity/NBP-28/FPGAProject_Top.SchDoc diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA_IO_Standards.DsnWrk b/tests/altium_crap/Signal Integrity/NBP-28/FPGA_IO_Standards.DsnWrk similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA_IO_Standards.DsnWrk rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA_IO_Standards.DsnWrk diff --git a/altium_crap/Signal Integrity/NBP-28/FPGA_MEMORIES.SCHDOC b/tests/altium_crap/Signal Integrity/NBP-28/FPGA_MEMORIES.SCHDOC similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/FPGA_MEMORIES.SCHDOC rename to tests/altium_crap/Signal Integrity/NBP-28/FPGA_MEMORIES.SCHDOC diff --git a/altium_crap/Signal Integrity/NBP-28/NBP-28 Rev 1.00.PCBDOC b/tests/altium_crap/Signal Integrity/NBP-28/NBP-28 Rev 1.00.PCBDOC similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/NBP-28 Rev 1.00.PCBDOC rename to tests/altium_crap/Signal Integrity/NBP-28/NBP-28 Rev 1.00.PCBDOC diff --git a/altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCB b/tests/altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCB similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCB rename to tests/altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCB diff --git a/altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCBStructure b/tests/altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCBStructure similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCBStructure rename to tests/altium_crap/Signal Integrity/NBP-28/NBP-28.PRJPCBStructure diff --git a/altium_crap/Signal Integrity/NBP-28/NBP-28.sdf b/tests/altium_crap/Signal Integrity/NBP-28/NBP-28.sdf similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/NBP-28.sdf rename to tests/altium_crap/Signal Integrity/NBP-28/NBP-28.sdf diff --git a/altium_crap/Signal Integrity/NBP-28/PROCESSOR.SCHDOC b/tests/altium_crap/Signal Integrity/NBP-28/PROCESSOR.SCHDOC similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/PROCESSOR.SCHDOC rename to tests/altium_crap/Signal Integrity/NBP-28/PROCESSOR.SCHDOC diff --git a/altium_crap/Signal Integrity/NBP-28/PROCESSOR_MEMORIES.SCHDOC b/tests/altium_crap/Signal Integrity/NBP-28/PROCESSOR_MEMORIES.SCHDOC similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/PROCESSOR_MEMORIES.SCHDOC rename to tests/altium_crap/Signal Integrity/NBP-28/PROCESSOR_MEMORIES.SCHDOC diff --git a/altium_crap/Signal Integrity/NBP-28/ProjectOutputs/FPGAProject_Top.VHD b/tests/altium_crap/Signal Integrity/NBP-28/ProjectOutputs/FPGAProject_Top.VHD similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ProjectOutputs/FPGAProject_Top.VHD rename to tests/altium_crap/Signal Integrity/NBP-28/ProjectOutputs/FPGAProject_Top.VHD diff --git a/altium_crap/Signal Integrity/NBP-28/TOP_SHEET.SCHDOC b/tests/altium_crap/Signal Integrity/NBP-28/TOP_SHEET.SCHDOC similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/TOP_SHEET.SCHDOC rename to tests/altium_crap/Signal Integrity/NBP-28/TOP_SHEET.SCHDOC diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.View b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.View similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.View rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.View diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewIcon b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewIcon rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewIcon diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewThumbnail b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewThumbnail rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/PCB Document.ViewThumbnail diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.View b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.View similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.View rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.View diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewIcon b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewIcon rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewIcon diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewThumbnail b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewThumbnail rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/Top level schematic.ViewThumbnail diff --git a/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/index.ini b/tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/index.ini similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/index.ini rename to tests/altium_crap/Signal Integrity/NBP-28/ViewsOfNBP-28_PRJPCB/index.ini diff --git a/altium_crap/Signal Integrity/NBP-28/ibis models/amd/lv640f63.ibs b/tests/altium_crap/Signal Integrity/NBP-28/ibis models/amd/lv640f63.ibs similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ibis models/amd/lv640f63.ibs rename to tests/altium_crap/Signal Integrity/NBP-28/ibis models/amd/lv640f63.ibs diff --git a/altium_crap/Signal Integrity/NBP-28/ibis models/micron/readme.txt b/tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/readme.txt similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ibis models/micron/readme.txt rename to tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/readme.txt diff --git a/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16a.ibs b/tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16a.ibs similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16a.ibs rename to tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16a.ibs diff --git a/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16y.ibs b/tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16y.ibs similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16y.ibs rename to tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y16y.ibs diff --git a/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y96a.ibs b/tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y96a.ibs similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ibis models/micron/y96a.ibs rename to tests/altium_crap/Signal Integrity/NBP-28/ibis models/micron/y96a.ibs diff --git a/altium_crap/Signal Integrity/NBP-28/ibis models/sharp/lh79520.ibs b/tests/altium_crap/Signal Integrity/NBP-28/ibis models/sharp/lh79520.ibs similarity index 100% rename from altium_crap/Signal Integrity/NBP-28/ibis models/sharp/lh79520.ibs rename to tests/altium_crap/Signal Integrity/NBP-28/ibis models/sharp/lh79520.ibs diff --git a/altium_crap/Signal Integrity/Simple FPGA/Constraint1.Constraint b/tests/altium_crap/Signal Integrity/Simple FPGA/Constraint1.Constraint similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/Constraint1.Constraint rename to tests/altium_crap/Signal Integrity/Simple FPGA/Constraint1.Constraint diff --git a/altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpg b/tests/altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpg similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpg rename to tests/altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpg diff --git a/altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpgStructure b/tests/altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpgStructure similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpgStructure rename to tests/altium_crap/Signal Integrity/Simple FPGA/FPGA_Project1.PrjFpgStructure diff --git a/altium_crap/Signal Integrity/Simple FPGA/SI_Demo.PcbDoc b/tests/altium_crap/Signal Integrity/Simple FPGA/SI_Demo.PcbDoc similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/SI_Demo.PcbDoc rename to tests/altium_crap/Signal Integrity/Simple FPGA/SI_Demo.PcbDoc diff --git a/altium_crap/Signal Integrity/Simple FPGA/Sheet1.SchDoc b/tests/altium_crap/Signal Integrity/Simple FPGA/Sheet1.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/Sheet1.SchDoc rename to tests/altium_crap/Signal Integrity/Simple FPGA/Sheet1.SchDoc diff --git a/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCB b/tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCB similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCB rename to tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCB diff --git a/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCBStructure b/tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCBStructure similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCBStructure rename to tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.PrjPCBStructure diff --git a/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.SchDoc b/tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.SchDoc rename to tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.SchDoc diff --git a/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.sdf b/tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.sdf similarity index 100% rename from altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.sdf rename to tests/altium_crap/Signal Integrity/Simple FPGA/SimpleFPGA_SI_Demo.sdf diff --git a/altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.PrjPCB b/tests/altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.PrjPCB similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.PrjPCB rename to tests/altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.PrjPCB diff --git a/altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.Txt b/tests/altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.Txt similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.Txt rename to tests/altium_crap/Signal Integrity/Spirit Level/Documentation/Documentation.Txt diff --git a/altium_crap/Signal Integrity/Spirit Level/Documentation/asccprj.scc b/tests/altium_crap/Signal Integrity/Spirit Level/Documentation/asccprj.scc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/Documentation/asccprj.scc rename to tests/altium_crap/Signal Integrity/Spirit Level/Documentation/asccprj.scc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcb b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcb similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcb rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcb diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcbStructure b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcbStructure similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcbStructure rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/PCB Issues Resolved.PrjPcbStructure diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Config_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Config_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Config_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Config_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_FPGA_Auto_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_FPGA_Auto_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_FPGA_Auto_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_FPGA_Auto_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_LCD_SW_LED_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_LCD_SW_LED_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_LCD_SW_LED_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_LCD_SW_LED_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Power.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Power.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Power.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/SL_Power.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.View b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.View rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.View diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.View b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.View rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.View diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/index.ini b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/index.ini similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/index.ini rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/ViewsOfPCB Issues Resolved_PrjPcb/index.ini diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/asccprj.scc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/asccprj.scc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/asccprj.scc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues Resolved/asccprj.scc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcb b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcb similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcb rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcb diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcbStructure b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcbStructure similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcbStructure rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/PCB Issues.PrjPcbStructure diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.PcbDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Config_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Config_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Config_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Config_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_FPGA_Auto_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_FPGA_Auto_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_FPGA_Auto_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_FPGA_Auto_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_LCD_SW_LED_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_LCD_SW_LED_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_LCD_SW_LED_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_LCD_SW_LED_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Power.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Power.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Power.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/SL_Power.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.View b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.View rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.View diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/Differential pair.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.View b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.View rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.View diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/JTAG_NEXUS_TCK.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.View b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.View rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.View diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/PCB Issues.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/index.ini b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/index.ini similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/index.ini rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/ViewsOfPCB Issues_PrjPcb/index.ini diff --git a/altium_crap/Signal Integrity/Spirit Level/PCB Issues/asccprj.scc b/tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/asccprj.scc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/PCB Issues/asccprj.scc rename to tests/altium_crap/Signal Integrity/Spirit Level/PCB Issues/asccprj.scc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcb b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcb similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcb rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcb diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcbStructure b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcbStructure similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcbStructure rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SCH Issues Resolved.PrjPcbStructure diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Config_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Config_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Config_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Config_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_FPGA_Auto_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_FPGA_Auto_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_FPGA_Auto_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_FPGA_Auto_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_LCD_SW_LED_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_LCD_SW_LED_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_LCD_SW_LED_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_LCD_SW_LED_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Power.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Power.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Power.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/SL_Power.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/Correcting issues.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/RDone.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/index.ini b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/index.ini similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/index.ini rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/ViewsOfSCH Issues Resolved_PrjPcb/index.ini diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/asccprj.scc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/asccprj.scc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/asccprj.scc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues Resolved/asccprj.scc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcb b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcb similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcb rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcb diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcbStructure b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcbStructure similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcbStructure rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SCH Issues.PrjPcbStructure diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL1 Xilinx Spartan-IIE PQ208 Rev1.01.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Config_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Config_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Config_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Config_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_FPGA_Auto_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_FPGA_Auto_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_FPGA_Auto_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_FPGA_Auto_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_LCD_SW_LED_2E.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_LCD_SW_LED_2E.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_LCD_SW_LED_2E.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_LCD_SW_LED_2E.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Power.SchDoc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Power.SchDoc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Power.SchDoc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/SL_Power.SchDoc diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Accelerometer signals.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/CCLK & DONE.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Differential pair.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/JTAG signals.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Run Signal Integrity.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Setup.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Stimuli.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.View b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.View similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.View rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.View diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewIcon b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewIcon similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewIcon rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewIcon diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewThumbnail b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewThumbnail similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewThumbnail rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/Supply nets.ViewThumbnail diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/index.ini b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/index.ini similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/index.ini rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/ViewsOfSCH Issues_PrjPcb/index.ini diff --git a/altium_crap/Signal Integrity/Spirit Level/SCH Issues/asccprj.scc b/tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/asccprj.scc similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/SCH Issues/asccprj.scc rename to tests/altium_crap/Signal Integrity/Spirit Level/SCH Issues/asccprj.scc diff --git a/altium_crap/Signal Integrity/Spirit Level/Signal Integrity.DsnWrk b/tests/altium_crap/Signal Integrity/Spirit Level/Signal Integrity.DsnWrk similarity index 100% rename from altium_crap/Signal Integrity/Spirit Level/Signal Integrity.DsnWrk rename to tests/altium_crap/Signal Integrity/Spirit Level/Signal Integrity.DsnWrk diff --git a/altium_crap/Snippets/Code/VHDL BrightnessPalette.Snippet b/tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.Snippet similarity index 100% rename from altium_crap/Snippets/Code/VHDL BrightnessPalette.Snippet rename to tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.Snippet diff --git a/altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetIcon b/tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetIcon similarity index 100% rename from altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetIcon rename to tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetIcon diff --git a/altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetThumbnail b/tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetThumbnail similarity index 100% rename from altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetThumbnail rename to tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.SnippetThumbnail diff --git a/altium_crap/Snippets/Code/VHDL BrightnessPalette.VHD b/tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.VHD similarity index 100% rename from altium_crap/Snippets/Code/VHDL BrightnessPalette.VHD rename to tests/altium_crap/Snippets/Code/VHDL BrightnessPalette.VHD diff --git a/altium_crap/Snippets/Code/index.ini b/tests/altium_crap/Snippets/Code/index.ini similarity index 100% rename from altium_crap/Snippets/Code/index.ini rename to tests/altium_crap/Snippets/Code/index.ini diff --git a/altium_crap/Snippets/PCB/4 by 4 Keypad.PcbDoc b/tests/altium_crap/Snippets/PCB/4 by 4 Keypad.PcbDoc similarity index 100% rename from altium_crap/Snippets/PCB/4 by 4 Keypad.PcbDoc rename to tests/altium_crap/Snippets/PCB/4 by 4 Keypad.PcbDoc diff --git a/altium_crap/Snippets/PCB/4 by 4 Keypad.Snippet b/tests/altium_crap/Snippets/PCB/4 by 4 Keypad.Snippet similarity index 100% rename from altium_crap/Snippets/PCB/4 by 4 Keypad.Snippet rename to tests/altium_crap/Snippets/PCB/4 by 4 Keypad.Snippet diff --git a/altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetIcon b/tests/altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetIcon similarity index 100% rename from altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetIcon rename to tests/altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetIcon diff --git a/altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetThumbnail b/tests/altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetThumbnail similarity index 100% rename from altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetThumbnail rename to tests/altium_crap/Snippets/PCB/4 by 4 Keypad.SnippetThumbnail diff --git a/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.PcbDoc b/tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.PcbDoc similarity index 100% rename from altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.PcbDoc rename to tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.PcbDoc diff --git a/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.Snippet b/tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.Snippet similarity index 100% rename from altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.Snippet rename to tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.Snippet diff --git a/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetIcon b/tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetIcon similarity index 100% rename from altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetIcon rename to tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetIcon diff --git a/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetThumbnail b/tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetThumbnail similarity index 100% rename from altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetThumbnail rename to tests/altium_crap/Snippets/PCB/PQFP FPGA Fan-Out.SnippetThumbnail diff --git a/altium_crap/Snippets/PCB/index.ini b/tests/altium_crap/Snippets/PCB/index.ini similarity index 100% rename from altium_crap/Snippets/PCB/index.ini rename to tests/altium_crap/Snippets/PCB/index.ini diff --git a/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SchDoc b/tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SchDoc similarity index 100% rename from altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SchDoc rename to tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SchDoc diff --git a/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.Snippet b/tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.Snippet similarity index 100% rename from altium_crap/Snippets/Schematic/LiveDesign JTAG Header.Snippet rename to tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.Snippet diff --git a/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetIcon b/tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetIcon similarity index 100% rename from altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetIcon rename to tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetIcon diff --git a/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetThumbnail b/tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetThumbnail similarity index 100% rename from altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetThumbnail rename to tests/altium_crap/Snippets/Schematic/LiveDesign JTAG Header.SnippetThumbnail diff --git a/altium_crap/Snippets/Schematic/Power Connectors and Switch.SchDoc b/tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.SchDoc similarity index 100% rename from altium_crap/Snippets/Schematic/Power Connectors and Switch.SchDoc rename to tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.SchDoc diff --git a/altium_crap/Snippets/Schematic/Power Connectors and Switch.Snippet b/tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.Snippet similarity index 100% rename from altium_crap/Snippets/Schematic/Power Connectors and Switch.Snippet rename to tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.Snippet diff --git a/altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetIcon b/tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetIcon similarity index 100% rename from altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetIcon rename to tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetIcon diff --git a/altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetThumbnail b/tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetThumbnail similarity index 100% rename from altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetThumbnail rename to tests/altium_crap/Snippets/Schematic/Power Connectors and Switch.SnippetThumbnail diff --git a/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SchDoc b/tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SchDoc similarity index 100% rename from altium_crap/Snippets/Schematic/Simple Regulator Circuit.SchDoc rename to tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SchDoc diff --git a/altium_crap/Snippets/Schematic/Simple Regulator Circuit.Snippet b/tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.Snippet similarity index 100% rename from altium_crap/Snippets/Schematic/Simple Regulator Circuit.Snippet rename to tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.Snippet diff --git a/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetIcon b/tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetIcon similarity index 100% rename from altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetIcon rename to tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetIcon diff --git a/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetThumbnail b/tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetThumbnail similarity index 100% rename from altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetThumbnail rename to tests/altium_crap/Snippets/Schematic/Simple Regulator Circuit.SnippetThumbnail diff --git a/altium_crap/Snippets/Schematic/index.ini b/tests/altium_crap/Snippets/Schematic/index.ini similarity index 100% rename from altium_crap/Snippets/Schematic/index.ini rename to tests/altium_crap/Snippets/Schematic/index.ini diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.PrjEmb b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.SwPlatform b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/MAX1037_ADC.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Harness b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpg b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.SchDoc b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.SchDoc rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC.SchDoc diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.Harness b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/MAX1037_ADC_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.PrjEmb b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.SwPlatform b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/MAX1229_CurrentSense.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Harness b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.OpenBusStructure b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.OpenBusStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.OpenBusStructure rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.OpenBusStructure diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpg b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.SchDoc b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.SchDoc rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense.SchDoc diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.Harness b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/MAX1229_CurrentSense_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX1229 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Harness b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpg b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.SchDoc b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.SchDoc rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC.SchDoc diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.Harness b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/MAX5841_DAC_MAX1037_ADC_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.PrjEmb b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.SwPlatform b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/MAX5841_DAC_MAX1037_ADC.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/main.c b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC MAX1037 ADC/embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.PrjEmb b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.SwPlatform b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/MAX5841_DAC.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Harness b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpg b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.SchDoc b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.SchDoc rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC.SchDoc diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.Harness b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.Harness rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.Harness diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/MAX5841_DAC_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/MAX5841 DAC/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.c b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.c similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.c rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.c diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.h b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.h similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.h rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2CurrentSense.h diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.PrjEmb b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.SwPlatform b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/NB2_CurrentSense.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Constraint b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Harness b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Harness rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.Harness diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.OpenBusStructure b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.OpenBusStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.OpenBusStructure rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.OpenBusStructure diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpg b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.SchDoc b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.SchDoc rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense.SchDoc diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.Harness b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.Harness rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.Harness diff --git a/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/NB2 CurrentSense/NB2_CurrentSense_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.PrjEmb b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.SwPlatform b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/adc084s021.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Constraint b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Harness b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Harness rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.Harness diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpg b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.SchDoc b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.SchDoc rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021.SchDoc diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.Harness b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.Harness rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.Harness diff --git a/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/NB3000 ADC084S021/adc084s021_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.PrjEmb b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.SwPlatform b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/dac084s085.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Constraint b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Constraint rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Constraint diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Harness b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Harness rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.Harness diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpg b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.SchDoc b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.SchDoc rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085.SchDoc diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.Harness b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.Harness rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.Harness diff --git a/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/NB3000 DAC084S085/dac084s085_System.OpenBus diff --git a/altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.PrjEmb b/tests/altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.PrjEmb rename to tests/altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.PrjEmb diff --git a/altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.SwPlatform b/tests/altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.SwPlatform rename to tests/altium_crap/Soft Designs/Analog/PWM/Embedded/PWM.SwPlatform diff --git a/altium_crap/Soft Designs/Analog/PWM/Embedded/main.c b/tests/altium_crap/Soft Designs/Analog/PWM/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/Embedded/main.c rename to tests/altium_crap/Soft Designs/Analog/PWM/Embedded/main.c diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM.Constraint b/tests/altium_crap/Soft Designs/Analog/PWM/PWM.Constraint similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM.Constraint rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM.Constraint diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM.Harness b/tests/altium_crap/Soft Designs/Analog/PWM/PWM.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM.Harness rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM.Harness diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpg b/tests/altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpg rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpg diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpgStructure b/tests/altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM.SchDoc b/tests/altium_crap/Soft Designs/Analog/PWM/PWM.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM.SchDoc rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM.SchDoc diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM_System.Harness b/tests/altium_crap/Soft Designs/Analog/PWM/PWM_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM_System.Harness rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM_System.Harness diff --git a/altium_crap/Soft Designs/Analog/PWM/PWM_System.OpenBus b/tests/altium_crap/Soft Designs/Analog/PWM/PWM_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Analog/PWM/PWM_System.OpenBus rename to tests/altium_crap/Soft Designs/Analog/PWM/PWM_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Harness b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Harness rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.Harness diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpg b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.SchDoc b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.SchDoc rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service.SchDoc diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.Harness b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.Harness rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.Harness diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Audio_Service_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.PrjEmb b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.SwPlatform b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/Audio_Service.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/main.c b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/main.c rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/main.c diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound.wav b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound.wav similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound.wav rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound.wav diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound_bin.h b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound_bin.h similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound_bin.h rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/sound_bin.h diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.c b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.c similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.c rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.c diff --git a/altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.h b/tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.h similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.h rename to tests/altium_crap/Soft Designs/Audio/Audio Service/Embedded/wave.h diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB41_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB41_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB41_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB41_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/Audio Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Harness b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Harness rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.Harness diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpg b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.SchDoc b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.SchDoc rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec.SchDoc diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.Harness b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.Harness rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.Harness diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/CS4270_Audio_Codec_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.PrjEmb b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.SwPlatform b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/CS4270_Audio_Codec.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/main.c b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/main.c rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/Embedded/main.c diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/CS4270 Audio Codec/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.PrjEmb b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.SwPlatform b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/MP3_Decoder.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.c b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.c similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.c rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.c diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.h b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.h similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.h rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/form1.h diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/main.c b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/main.c rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/Embedded/main.c diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Harness b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Harness rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.Harness diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpg b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.SchDoc b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.SchDoc rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder.SchDoc diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.Harness b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.Harness rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.Harness diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.OpenBus b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.OpenBus rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/MP3_Decoder_system.OpenBus diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Audio/MP3 Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.PrjEmb b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.SwPlatform b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.c b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/NB3000_Audio_Service.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound.wav b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound.wav similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound.wav rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound.wav diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound_bin.h b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound_bin.h similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound_bin.h rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/sound_bin.h diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.c b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.h b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.h similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.h rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/Embedded/wave.h diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Constraint b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Constraint rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Constraint diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpg b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.SchDoc b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.SchDoc rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service.SchDoc diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/NB3000 Audio Service/NB3000_Audio_Service_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.PrjEmb b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.SwPlatform b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.c b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/Embedded/NB3000_CS4270_Audio_Codec.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Constraint b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Constraint rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Constraint diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpg b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.SchDoc b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.SchDoc rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec.SchDoc diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/NB3000 CS4270 Audio Codec/NB3000_CS4270_Audio_Codec_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.PrjEmb b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.SwPlatform b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/NB3000_MP3_Decoder.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.c b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.h b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.h similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.h rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/form1.h diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/main.c b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/main.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/Embedded/main.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Constraint b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Constraint rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Constraint diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpg b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.SchDoc b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.SchDoc rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder.SchDoc diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/NB3000 MP3 Decoder/NB3000_MP3_Decoder_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.PrjEmb b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.SwPlatform b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/NB3000_MIDI.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/main.c b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/main.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/main.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.c b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.h b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.h similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.h rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/midismf.h diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/sound.mid b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/sound.mid similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/sound.mid rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/Embedded/sound.mid diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Constraint b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Constraint rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Constraint diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpg b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.SchDoc b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.SchDoc rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI.SchDoc diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.Harness b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.Harness rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.Harness diff --git a/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/NB3000 Midi/NB3000_MIDI_System.OpenBus diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/gitar.wav b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/gitar.wav similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/gitar.wav rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/gitar.wav diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/main.c b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/main.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/main.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.PrjEmb b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.PrjEmb rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.PrjEmb diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.SwPlatform b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.SwPlatform rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/spdif_audio.SwPlatform diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.c b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.c similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.c rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.c diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.h b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.h similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.h rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/Embedded/wave.h diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.Constraint b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.Constraint similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.Constraint rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.Constraint diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpg b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpg rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpg diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpgStructure b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.SchDoc b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.SchDoc rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio.SchDoc diff --git a/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio_System.OpenBus b/tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio_System.OpenBus rename to tests/altium_crap/Soft Designs/Audio/NB3000 SPDIF/spdif_audio_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Audio_DSP.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Audio_DSP.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Audio_DSP.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Audio_DSP.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Equalizer.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Equalizer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Equalizer.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Equalizer.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Filter.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.dfm b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.dfm similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.dfm rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.dfm diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.pas b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.pas similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.pas rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_Equalizer.pas diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.dfm b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.dfm similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.dfm rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.dfm diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.pas b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.pas similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.pas rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Form_IO.pas diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/IO.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/InstrumentScript.PrjScr b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/InstrumentScript.PrjScr similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/InstrumentScript.PrjScr rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/InstrumentScript.PrjScr diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/Manage_Controls.pas b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Manage_Controls.pas similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/Manage_Controls.pas rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/Manage_Controls.pas diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB2_Audio_DSP.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/NB3000_Audio_DSP.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/biquad.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/biquad.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/biquad.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/biquad.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.h b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.h rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/clip.h diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/control.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/control.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/control.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/control.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/delay.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/delay.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/delay.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/delay.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/echo.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/echo.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/echo.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/echo.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/equalizer_main.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/equalizer_main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/equalizer_main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/equalizer_main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/filter.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/filter.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/filter.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/filter.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/gain.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/gain.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/gain.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/gain.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/input.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/input.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/input.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/input.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/output.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/output.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/output.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/output.c diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/process_audio.h b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/process_audio.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/process_audio.h rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/process_audio.h diff --git a/altium_crap/Soft Designs/C to Hardware/Audio DSP/vu.c b/tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/vu.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Audio DSP/vu.c rename to tests/altium_crap/Soft Designs/C to Hardware/Audio DSP/vu.c diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/Calculator.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/CalculatorFSM.c b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/CalculatorFSM.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/CalculatorFSM.c rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/CalculatorFSM.c diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Calculator/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Clock.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Clock.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Clock.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymExample.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymExample.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymExample.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymExample.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/CodeSymbolExample.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Controller.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Controller.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Controller.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/Controller.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_07_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_07_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_07_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_07_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_08_DB31_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_08_DB31_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_08_DB31_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/NB2DSK01_08_DB31_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/bitcount.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/bitcount.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/bitcount.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/bitcount.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/controller_main.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/controller_main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/controller_main.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/controller_main.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/convert.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/convert.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/convert.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/convert.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/format b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/format similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/format rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/format diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/hardware.h b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/hardware.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/hardware.h rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/hardware.h diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/pack_float.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/pack_float.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/pack_float.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/pack_float.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/shot.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/shot.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/shot.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/shot.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqr_float.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqr_float.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqr_float.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqr_float.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqrctrl.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqrctrl.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqrctrl.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/sqrctrl.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/swap.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/swap.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/swap.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/swap.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/target.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/target.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/target.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/target.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/unpack_float.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/unpack_float.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/unpack_float.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/unpack_float.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/wb_multi_manual.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/wb_multi_manual.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/wb_multi_manual.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/wb_multi_manual.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/win.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/win.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/win.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/win.c diff --git a/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/xor.c b/tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/xor.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/xor.c rename to tests/altium_crap/Soft Designs/C to Hardware/CodeSymbols Explained/xor.c diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Edge_Detection_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/Edge_Detection.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/background.jpg b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/background.jpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/background.jpg rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/background.jpg diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/edgedet.h b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/edgedet.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/edgedet.h rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/edgedet.h diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/hw_edgedet.c b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/hw_edgedet.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/hw_edgedet.c rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/hw_edgedet.c diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/sw_edgedet.c b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/sw_edgedet.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/sw_edgedet.c rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/Embedded/sw_edgedet.c diff --git a/altium_crap/Soft Designs/C to Hardware/Edge Detection/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Edge Detection/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Edge Detection/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/Floating_Point.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/Floating_Point_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Floating Point/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Floating Point/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Floating Point/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Floating Point/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/Graphics_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/Graphics.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.c b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.c rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.c diff --git a/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.h b/tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.h rename to tests/altium_crap/Soft Designs/C to Hardware/Graphics/embedded/testfuncs.h diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/Image_Rotation.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/Image_Rotation_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Image Rotation/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/Moving_Average.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/Moving_Average_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Moving Average/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Moving Average/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Moving Average/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Moving Average/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Clock.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Clock.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Clock.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/NB3000_CHC_Graphics.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.h b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.h rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/Embedded/testfuncs.h diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.Harness b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CHC Graphics/NB3000_CHC_Graphics_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Clock.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Clock.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Clock.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/NB3000_CodeSymbols_Explained.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/wb_multi_manual.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/wb_multi_manual.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/wb_multi_manual.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/Embedded/wb_multi_manual.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/NB3000_CodeSymbols_Explained.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/bitcount.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/bitcount.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/bitcount.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/bitcount.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/convert.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/convert.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/convert.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/convert.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/pack_float.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/pack_float.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/pack_float.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/pack_float.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/shot.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/shot.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/shot.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/shot.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqr_float.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqr_float.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqr_float.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqr_float.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqrctrl.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqrctrl.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqrctrl.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/sqrctrl.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/swap.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/swap.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/swap.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/swap.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/target.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/target.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/target.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/target.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/unpack_float.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/unpack_float.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/unpack_float.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/unpack_float.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/win.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/win.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/win.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/win.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/xor.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/xor.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/xor.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 CodeSymbols Explained/xor.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/NB3000_Edge_Detection.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/background.jpg b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/background.jpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/background.jpg rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/background.jpg diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/edgedet.h b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/edgedet.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/edgedet.h rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/edgedet.h diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/hw_edgedet.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/hw_edgedet.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/hw_edgedet.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/hw_edgedet.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/sw_edgedet.c b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/sw_edgedet.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/sw_edgedet.c rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/Embedded/sw_edgedet.c diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000AL_02_PB01_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000AL_02_PB01_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000AL_02_PB01_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000AL_02_PB01_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000LC_02_PB01_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000LC_02_PB01_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000LC_02_PB01_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000LC_02_PB01_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000XN_05_PB01_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000XN_05_PB01_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000XN_05_PB01_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000XN_05_PB01_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Harness b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/NB3000 Edge Detection/NB3000_Edge_Detection_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube.h b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube.h rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube.h diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.c b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.c rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.c diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.h b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.h rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/3dcube_chc.h diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.PrjEmb b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.PrjEmb rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.PrjEmb diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.SwPlatform b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.SwPlatform rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/Spinning_3D_Cube.SwPlatform diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.c b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.c rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.c diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.h b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.h rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/arial8.h diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.c b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.c rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.c diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.h b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.h rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bitmap.h diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.c b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.c rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.c diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.h b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.h rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/bmp.h diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.c b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.c rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.c diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.h b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.h rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/font.h diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/main.c b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/main.c rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Embedded/main.c diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.Harness b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.OpenBus b/tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.OpenBus rename to tests/altium_crap/Soft Designs/C to Hardware/Spinning 3D Cube/Spinning_3D_Cube_System.OpenBus diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB30_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB30_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB30_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB30_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB31_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB31_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB31_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB2DSK01_08_DB31_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000XN_05_PB01_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000XN_05_PB01_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000XN_05_PB01_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000XN_05_PB01_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_vga_video.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_video.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_video.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_video.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/NB3000_chc_video.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/add_histogram.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/add_histogram.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/add_histogram.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/add_histogram.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/calc_histogram.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/calc_histogram.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/calc_histogram.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/calc_histogram.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_vga_video.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/chc_video.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/clear.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/clear.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/clear.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/clear.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/clear.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/clear.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/clear.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/clear.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/clear_screen.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/clear_screen.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/clear_screen.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/clear_screen.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/contrast_filter.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/contrast_filter.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/contrast_filter.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/contrast_filter.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/filter.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/filter.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/filter.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/filter.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/filter.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/filter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/filter.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/filter.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hardware.h b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hardware.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hardware.h rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hardware.h diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb_color.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb_color.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb_color.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi2rgb_color.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filter.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filter.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filter.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filter.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/hsi_filters.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/init.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/init.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/init.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/init.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/mul10x10.h b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul10x10.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/mul10x10.h rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul10x10.h diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/mul11x11.h b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul11x11.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/mul11x11.h rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul11x11.h diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/mul8x8.h b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul8x8.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/mul8x8.h rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul8x8.h diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/mul9x9.h b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul9x9.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/mul9x9.h rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/mul9x9.h diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipeline.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_pipelines.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_select.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_select.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_select.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/pixel_select.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/rgb2hsi.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/rgb2hsi.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/rgb2hsi.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/rgb2hsi.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/rgb_filter.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/rgb_filter.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/rgb_filter.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/rgb_filter.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/video.h b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/video.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/video.h rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/video.h diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/wb2rgb.c b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb2rgb.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/wb2rgb.c rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb2rgb.c diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wb_mux6.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.Harness b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.Harness similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.Harness rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.Harness diff --git a/altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/VGA Video/wishbone_adder.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpg b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpg rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpg diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpgStructure b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.SchDoc b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.SchDoc rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/CHC_Video.SchDoc diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB41_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB41_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB41_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB41_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB42_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB42_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB42_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB42_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/dblbuf.c b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/dblbuf.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/dblbuf.c rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/dblbuf.c diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/process.c b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/process.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/process.c rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/process.c diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/tft.c b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/tft.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/tft.c rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/tft.c diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/video.c b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/video.c similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/video.c rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/video.c diff --git a/altium_crap/Soft Designs/C to Hardware/Video Demo/video.h b/tests/altium_crap/Soft Designs/C to Hardware/Video Demo/video.h similarity index 100% rename from altium_crap/Soft Designs/C to Hardware/Video Demo/video.h rename to tests/altium_crap/Soft Designs/C to Hardware/Video Demo/video.h diff --git a/altium_crap/Soft Designs/C++/Complex/Complex.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/Complex.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/Complex.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/Complex.Harness b/tests/altium_crap/Soft Designs/C++/Complex/Complex.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex.Harness rename to tests/altium_crap/Soft Designs/C++/Complex/Complex.Harness diff --git a/altium_crap/Soft Designs/C++/Complex/Complex.PrjFpg b/tests/altium_crap/Soft Designs/C++/Complex/Complex.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex.PrjFpg rename to tests/altium_crap/Soft Designs/C++/Complex/Complex.PrjFpg diff --git a/altium_crap/Soft Designs/C++/Complex/Complex.PrjFpgStructure b/tests/altium_crap/Soft Designs/C++/Complex/Complex.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C++/Complex/Complex.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C++/Complex/Complex.SchDoc b/tests/altium_crap/Soft Designs/C++/Complex/Complex.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex.SchDoc rename to tests/altium_crap/Soft Designs/C++/Complex/Complex.SchDoc diff --git a/altium_crap/Soft Designs/C++/Complex/Complex_System.Harness b/tests/altium_crap/Soft Designs/C++/Complex/Complex_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex_System.Harness rename to tests/altium_crap/Soft Designs/C++/Complex/Complex_System.Harness diff --git a/altium_crap/Soft Designs/C++/Complex/Complex_System.OpenBus b/tests/altium_crap/Soft Designs/C++/Complex/Complex_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Complex_System.OpenBus rename to tests/altium_crap/Soft Designs/C++/Complex/Complex_System.OpenBus diff --git a/altium_crap/Soft Designs/C++/Complex/Embedded/Complex.PrjEmb b/tests/altium_crap/Soft Designs/C++/Complex/Embedded/Complex.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Embedded/Complex.PrjEmb rename to tests/altium_crap/Soft Designs/C++/Complex/Embedded/Complex.PrjEmb diff --git a/altium_crap/Soft Designs/C++/Complex/Embedded/complex.SwPlatform b/tests/altium_crap/Soft Designs/C++/Complex/Embedded/complex.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Embedded/complex.SwPlatform rename to tests/altium_crap/Soft Designs/C++/Complex/Embedded/complex.SwPlatform diff --git a/altium_crap/Soft Designs/C++/Complex/Embedded/complex.cpp b/tests/altium_crap/Soft Designs/C++/Complex/Embedded/complex.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/Embedded/complex.cpp rename to tests/altium_crap/Soft Designs/C++/Complex/Embedded/complex.cpp diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Complex/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/Embedded/Hello World.PrjEmb b/tests/altium_crap/Soft Designs/C++/Hello World/Embedded/Hello World.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Embedded/Hello World.PrjEmb rename to tests/altium_crap/Soft Designs/C++/Hello World/Embedded/Hello World.PrjEmb diff --git a/altium_crap/Soft Designs/C++/Hello World/Embedded/Hello_World.SwPlatform b/tests/altium_crap/Soft Designs/C++/Hello World/Embedded/Hello_World.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Embedded/Hello_World.SwPlatform rename to tests/altium_crap/Soft Designs/C++/Hello World/Embedded/Hello_World.SwPlatform diff --git a/altium_crap/Soft Designs/C++/Hello World/Embedded/hello.cpp b/tests/altium_crap/Soft Designs/C++/Hello World/Embedded/hello.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Embedded/hello.cpp rename to tests/altium_crap/Soft Designs/C++/Hello World/Embedded/hello.cpp diff --git a/altium_crap/Soft Designs/C++/Hello World/Hello_World.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Hello_World.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpg b/tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpg rename to tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpg diff --git a/altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpgStructure b/tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C++/Hello World/Hello_World.SchDoc b/tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Hello_World.SchDoc rename to tests/altium_crap/Soft Designs/C++/Hello World/Hello_World.SchDoc diff --git a/altium_crap/Soft Designs/C++/Hello World/Hello_World_System.Harness b/tests/altium_crap/Soft Designs/C++/Hello World/Hello_World_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Hello_World_System.Harness rename to tests/altium_crap/Soft Designs/C++/Hello World/Hello_World_System.Harness diff --git a/altium_crap/Soft Designs/C++/Hello World/Hello_World_System.OpenBus b/tests/altium_crap/Soft Designs/C++/Hello World/Hello_World_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/Hello_World_System.OpenBus rename to tests/altium_crap/Soft Designs/C++/Hello World/Hello_World_System.OpenBus diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/C++/Hello World/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Clock.Constraint b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/Clock.Constraint rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Clock.Constraint diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.PrjEmb b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.PrjEmb rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.PrjEmb diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.SwPlatform b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.SwPlatform rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/NB3000_Complex.SwPlatform diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/main.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/main.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/main.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/Embedded/main.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.Harness b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.Harness rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.Harness diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpg b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpg rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpg diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpgStructure b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.SchDoc b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.SchDoc rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex.SchDoc diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.Harness b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.Harness rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.Harness diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.OpenBus b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.OpenBus rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Complex/NB3000_Complex_System.OpenBus diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/down.bmp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/down.bmp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/down.bmp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/down.bmp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/left.bmp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/left.bmp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/left.bmp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/left.bmp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/pause.bmp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/pause.bmp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/pause.bmp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/pause.bmp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/right.bmp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/right.bmp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/right.bmp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/right.bmp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/rotate.bmp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/rotate.bmp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/rotate.bmp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Bitmaps/rotate.bmp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.PrjEmb b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.PrjEmb rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.PrjEmb diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.SwPlatform b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.SwPlatform rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/Tetris.SwPlatform diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/board.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/display_vga.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/input.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/logger.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/main.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/main.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/main.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/main.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/model.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/pieces.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris_conf.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris_conf.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris_conf.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/tetris_conf.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/threads.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.h b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.h similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.h rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Embedded/update_info.h diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Constraint b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Constraint rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Constraint diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Harness b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Harness rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.Harness diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpg b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpg rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpg diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpgStructure b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.SchDoc b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.SchDoc rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris.SchDoc diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.Harness b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.Harness rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.Harness diff --git a/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.OpenBus b/tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.OpenBus rename to tests/altium_crap/Soft Designs/C++/NB3000 C++ Tetris/Tetris_System.OpenBus diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.PrjEmb b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.PrjEmb rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.PrjEmb diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.SwPlatform b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.SwPlatform rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.SwPlatform diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.cpp b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.cpp similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.cpp rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/Embedded/NB3000_Hello_World.cpp diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Constraint b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Constraint similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Constraint rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Constraint diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Harness b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Harness rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.Harness diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpg b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpg rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpg diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpgStructure b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpgStructure rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.PrjFpgStructure diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.SchDoc b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.SchDoc similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.SchDoc rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World.SchDoc diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.Harness b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.Harness similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.Harness rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.Harness diff --git a/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.OpenBus b/tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.OpenBus rename to tests/altium_crap/Soft Designs/C++/NB3000 Hello World/NB3000_Hello_World_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/CAN.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN.Harness b/tests/altium_crap/Soft Designs/Communication/CAN/CAN.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN.Harness rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN.Harness diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpg b/tests/altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN.SchDoc b/tests/altium_crap/Soft Designs/Communication/CAN/CAN.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN.SchDoc rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN.SchDoc diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN_System.Harness b/tests/altium_crap/Soft Designs/Communication/CAN/CAN_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN_System.Harness rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN_System.Harness diff --git a/altium_crap/Soft Designs/Communication/CAN/CAN_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/CAN/CAN_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/CAN_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/CAN/CAN_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.PrjEmb b/tests/altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.SwPlatform b/tests/altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/CAN/Embedded/CAN_Embedded.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/CAN/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/CAN/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/CAN/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB41_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB41_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB41_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB41_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CAN/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CANopen/CANopen.Harness b/tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/CANopen.Harness rename to tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.Harness diff --git a/altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpg b/tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/CANopen/CANopen.SchDoc b/tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/CANopen.SchDoc rename to tests/altium_crap/Soft Designs/Communication/CANopen/CANopen.SchDoc diff --git a/altium_crap/Soft Designs/Communication/CANopen/CANopen_System.Harness b/tests/altium_crap/Soft Designs/Communication/CANopen/CANopen_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/CANopen_System.Harness rename to tests/altium_crap/Soft Designs/Communication/CANopen/CANopen_System.Harness diff --git a/altium_crap/Soft Designs/Communication/CANopen/CANopen_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/CANopen/CANopen_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/CANopen_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/CANopen/CANopen_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb.cri b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb.cri similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb.cri rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.PrjEmb.cri diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.SwPlatform b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CANopen_Client.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.c b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.c rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.c diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.h b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.h similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.h rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/CO_OD.h diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/USER.c b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/USER.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/USER.c rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/USER.c diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/devices.h b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/devices.h similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/devices.h rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/devices.h diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/generic_devices.h b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/generic_devices.h similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/generic_devices.h rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/generic_devices.h diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/main.c b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Client/main.c rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Client/main.c diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb.cri b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb.cri similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb.cri rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.PrjEmb.cri diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.SwPlatform b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CANopen_Server.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.c b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.c rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.c diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.h b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.h similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.h rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/CO_OD.h diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/USER.c b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/USER.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/USER.c rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/USER.c diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/devices.h b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/devices.h similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/devices.h rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/devices.h diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/generic_devices.h b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/generic_devices.h similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/generic_devices.h rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/generic_devices.h diff --git a/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/main.c b/tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/Embedded Server/main.c rename to tests/altium_crap/Soft Designs/Communication/CANopen/Embedded Server/main.c diff --git a/altium_crap/Soft Designs/Communication/CANopen/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/CANopen/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/CANopen/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/CANopen/timing.Constraint b/tests/altium_crap/Soft Designs/Communication/CANopen/timing.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/CANopen/timing.Constraint rename to tests/altium_crap/Soft Designs/Communication/CANopen/timing.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.PrjEmb b/tests/altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.SwPlatform b/tests/altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/I2C/Embedded/I2C.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/I2C/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/I2C/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/I2C/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/I2C.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C.Harness b/tests/altium_crap/Soft Designs/Communication/I2C/I2C.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C.Harness rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C.Harness diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpg b/tests/altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C.SchDoc b/tests/altium_crap/Soft Designs/Communication/I2C/I2C.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C.SchDoc rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C.SchDoc diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C_System.Harness b/tests/altium_crap/Soft Designs/Communication/I2C/I2C_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C_System.Harness rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C_System.Harness diff --git a/altium_crap/Soft Designs/Communication/I2C/I2C_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/I2C/I2C_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/I2C_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/I2C/I2C_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/I2C/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.PrjEmb b/tests/altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.SwPlatform b/tests/altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/Infrared/Embedded/IrRC_Transceiver.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/Infrared/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/Infrared/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/Infrared/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Harness b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Harness rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.Harness diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpg b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.SchDoc b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.SchDoc rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver.SchDoc diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.Harness b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.Harness rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.Harness diff --git a/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/Infrared/IrRC_Transceiver_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/Infrared/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.PrjEmb b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.SwPlatform b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/Ir_Receiver.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.Constraint b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.Constraint rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.Constraint diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpg b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.SchDoc b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.SchDoc rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver.SchDoc diff --git a/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/NB3000 IR38KRX/Ir_Receiver_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.PrjEmb b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.SwPlatform b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/OneWire.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000XN_04_PB30_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000XN_04_PB30_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000XN_04_PB30_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000XN_04_PB30_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Constraint b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Constraint rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Constraint diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Harness b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Harness rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.Harness diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpg b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.SchDoc b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.SchDoc rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/NB3000_OneWire.SchDoc diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.Harness b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.Harness rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.Harness diff --git a/altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/NB3000 OneWire/OneWire_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.PrjEmb b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.SwPlatform b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.c b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.c similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.c rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_1/NB3000_Uart_Serial_Port.c diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.PrjEmb b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.SwPlatform b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.c b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.c similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.c rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/Embedded_2/NB3000_Uart_Echo.c diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.Constraint b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.Constraint rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.Constraint diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpg b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.Harness b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.Harness rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.Harness diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.SchDoc b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.SchDoc rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port.SchDoc diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.Harness b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.Harness rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.Harness diff --git a/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/NB3000 UART/NB3000_Uart_Serial_Port_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.PrjEmb b/tests/altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.SwPlatform b/tests/altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/OneWire/Embedded/OneWire.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/OneWire/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/OneWire/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/OneWire/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire.Constraint b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire.Constraint rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.Constraint diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire.Harness b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire.Harness rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.Harness diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpg b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire.SchDoc b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire.SchDoc rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire.SchDoc diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire_System.Harness b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire_System.Harness rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire_System.Harness diff --git a/altium_crap/Soft Designs/Communication/OneWire/OneWire_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/OneWire/OneWire_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/OneWire/OneWire_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/OneWire/OneWire_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Harness b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Harness rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.Harness diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpg b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.schdoc b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.schdoc similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.schdoc rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter.schdoc diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.Harness b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.Harness rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.Harness diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/SPI_Arbiter_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.PrjEmb b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.SwPlatform b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/SPI_Arbiter.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/main.c b/tests/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/SPI Arbiter/embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.PrjEmb b/tests/altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.SwPlatform b/tests/altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/SPI/Embedded/SPI.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/SPI/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/SPI/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/SPI/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI.Constraint b/tests/altium_crap/Soft Designs/Communication/SPI/SPI.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI.Constraint rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI.Constraint diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI.Harness b/tests/altium_crap/Soft Designs/Communication/SPI/SPI.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI.Harness rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI.Harness diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpg b/tests/altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI.SchDoc b/tests/altium_crap/Soft Designs/Communication/SPI/SPI.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI.SchDoc rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI.SchDoc diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI_System.Harness b/tests/altium_crap/Soft Designs/Communication/SPI/SPI_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI_System.Harness rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI_System.Harness diff --git a/altium_crap/Soft Designs/Communication/SPI/SPI_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/SPI/SPI_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/SPI/SPI_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/SPI/SPI_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.PrjEmb b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.SwPlatform b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/UART_Error_Handling.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/main.c b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/main.c rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/Embedded/main.c diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.Constraint b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpg b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.SchDoc b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.SchDoc rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling.SchDoc diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/UART_Error_Handling_System.OpenBus diff --git a/altium_crap/Soft Designs/Communication/UART ComError Handling/shiftreg.Vhd b/tests/altium_crap/Soft Designs/Communication/UART ComError Handling/shiftreg.Vhd similarity index 100% rename from altium_crap/Soft Designs/Communication/UART ComError Handling/shiftreg.Vhd rename to tests/altium_crap/Soft Designs/Communication/UART ComError Handling/shiftreg.Vhd diff --git a/altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.PrjEmb b/tests/altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.SwPlatform b/tests/altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/UART/Embedded_1/UART_main.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/UART/Embedded_1/main.c b/tests/altium_crap/Soft Designs/Communication/UART/Embedded_1/main.c similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/Embedded_1/main.c rename to tests/altium_crap/Soft Designs/Communication/UART/Embedded_1/main.c diff --git a/altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.PrjEmb b/tests/altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.PrjEmb rename to tests/altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.PrjEmb diff --git a/altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.SwPlatform b/tests/altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.SwPlatform rename to tests/altium_crap/Soft Designs/Communication/UART/Embedded_2/UART_echo.SwPlatform diff --git a/altium_crap/Soft Designs/Communication/UART/Embedded_2/echo.c b/tests/altium_crap/Soft Designs/Communication/UART/Embedded_2/echo.c similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/Embedded_2/echo.c rename to tests/altium_crap/Soft Designs/Communication/UART/Embedded_2/echo.c diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Constraint b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Constraint similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Constraint rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Constraint diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Harness b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Harness rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.Harness diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpg b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpg rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpg diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpgStructure b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.SchDoc b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.SchDoc rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port.SchDoc diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.Harness b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.Harness rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.Harness diff --git a/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.OpenBus b/tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.OpenBus rename to tests/altium_crap/Soft Designs/Communication/UART/UART_Serial_Port_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.PrjEmb b/tests/altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.PrjEmb rename to tests/altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.PrjEmb diff --git a/altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.SwPlatform b/tests/altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.SwPlatform rename to tests/altium_crap/Soft Designs/Display/Graphics/Embedded/Graphics.SwPlatform diff --git a/altium_crap/Soft Designs/Display/Graphics/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/Graphics/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/Graphics/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics.Harness b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics.Harness rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics.Harness diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpg b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpg rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpg diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics.SchDoc b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics.SchDoc rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics.SchDoc diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics_System.Harness b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics_System.Harness rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics_System.Harness diff --git a/altium_crap/Soft Designs/Display/Graphics/Graphics_System.OpenBus b/tests/altium_crap/Soft Designs/Display/Graphics/Graphics_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/Graphics_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/Graphics/Graphics_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Graphics/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.PrjEmb b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.PrjEmb rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.PrjEmb diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.SwPlatform b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.SwPlatform rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/JPEG_Decoder.SwPlatform diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/balloon.jpg b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/balloon.jpg similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/balloon.jpg rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/balloon.jpg diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Harness b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Harness rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.Harness diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpg b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpg rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpg diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.SchDoc b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.SchDoc rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder.SchDoc diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.Harness b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.Harness rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.Harness diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.OpenBus b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/JPEG_Decoder_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/JPEG Decoder/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/LCD/Embedded/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Display/LCD/Embedded/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/Embedded/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Display/LCD/Embedded/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Display/LCD/Embedded/LCD.SwPlatform b/tests/altium_crap/Soft Designs/Display/LCD/Embedded/LCD.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/Embedded/LCD.SwPlatform rename to tests/altium_crap/Soft Designs/Display/LCD/Embedded/LCD.SwPlatform diff --git a/altium_crap/Soft Designs/Display/LCD/Embedded/chars.h b/tests/altium_crap/Soft Designs/Display/LCD/Embedded/chars.h similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/Embedded/chars.h rename to tests/altium_crap/Soft Designs/Display/LCD/Embedded/chars.h diff --git a/altium_crap/Soft Designs/Display/LCD/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/LCD/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/LCD/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/LCD/LCD.Constraint b/tests/altium_crap/Soft Designs/Display/LCD/LCD.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD.Constraint rename to tests/altium_crap/Soft Designs/Display/LCD/LCD.Constraint diff --git a/altium_crap/Soft Designs/Display/LCD/LCD.Harness b/tests/altium_crap/Soft Designs/Display/LCD/LCD.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD.Harness rename to tests/altium_crap/Soft Designs/Display/LCD/LCD.Harness diff --git a/altium_crap/Soft Designs/Display/LCD/LCD.PrjFpg b/tests/altium_crap/Soft Designs/Display/LCD/LCD.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD.PrjFpg rename to tests/altium_crap/Soft Designs/Display/LCD/LCD.PrjFpg diff --git a/altium_crap/Soft Designs/Display/LCD/LCD.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/LCD/LCD.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/LCD/LCD.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/LCD/LCD.SchDoc b/tests/altium_crap/Soft Designs/Display/LCD/LCD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD.SchDoc rename to tests/altium_crap/Soft Designs/Display/LCD/LCD.SchDoc diff --git a/altium_crap/Soft Designs/Display/LCD/LCD_System.Harness b/tests/altium_crap/Soft Designs/Display/LCD/LCD_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD_System.Harness rename to tests/altium_crap/Soft Designs/Display/LCD/LCD_System.Harness diff --git a/altium_crap/Soft Designs/Display/LCD/LCD_System.OpenBus b/tests/altium_crap/Soft Designs/Display/LCD/LCD_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/LCD_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/LCD/LCD_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/LCD/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/LCD/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/LCD/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/LCD/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.PrjEmb b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.PrjEmb rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.PrjEmb diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.SwPlatform b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.SwPlatform rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/MAX6966_LedDriver.SwPlatform diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Harness b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Harness rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.Harness diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.OpenBusStructure b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.OpenBusStructure similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.OpenBusStructure rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.OpenBusStructure diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpg b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpg rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpg diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.SchDoc b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.SchDoc rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver.SchDoc diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.Harness b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.Harness rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.Harness diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.OpenBus b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/MAX6966_LedDriver_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/MAX6966 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.c b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.c rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.c diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.h b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.h similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.h rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2LedDriver.h diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.PrjEmb b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.PrjEmb rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.PrjEmb diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.SwPlatform b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.SwPlatform rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/NB2_LedDriver.SwPlatform diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Constraint b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Constraint rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Constraint diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Harness b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Harness rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.Harness diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.OpenBusStructure b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.OpenBusStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.OpenBusStructure rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.OpenBusStructure diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpg b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpg rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpg diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.SchDoc b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.SchDoc rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver.SchDoc diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.Harness b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.Harness rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.Harness diff --git a/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.OpenBus b/tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/NB2 LedDriver/NB2_LedDriver_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.PrjEmb b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.PrjEmb rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.PrjEmb diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.SwPlatform b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.SwPlatform rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/NB3000_Bouncing_Balls.SwPlatform diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Constraint b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Constraint rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Constraint diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpg b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpg rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpg diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.SchDoc b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.SchDoc rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls.SchDoc diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.OpenBus b/tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/NB3000 Bouncing Balls/NB3000_Bouncing_Balls_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.PrjEmb b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.PrjEmb rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.PrjEmb diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.SwPlatform b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.SwPlatform rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/NB3000_Graphics.SwPlatform diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Constraint b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Constraint rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Constraint diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpg b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpg rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpg diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.SchDoc b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.SchDoc rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics.SchDoc diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.OpenBus b/tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/NB3000 Graphics/NB3000_Graphics_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.c b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.c rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.c diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.h b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.h similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.h rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/BPreplay8.h diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.PrjEmb b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.PrjEmb rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.PrjEmb diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.SwPlatform b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.SwPlatform rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/Text_Display.SwPlatform diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Constraint b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Constraint rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Constraint diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.OpenBusStructure b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.OpenBusStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.OpenBusStructure rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.OpenBusStructure diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpg b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpg rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpg diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.SchDoc b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.SchDoc rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display.SchDoc diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.OpenBus b/tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/NB3000 Text Display/Text_Display_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.PrjEmb b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.PrjEmb rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.PrjEmb diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.SwPlatform b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.SwPlatform rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/USB_Video.SwPlatform diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Constraint b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Constraint rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Constraint diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpg b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpg rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpg diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.SchDoc b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.SchDoc rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video.SchDoc diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.Harness b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.Harness rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.Harness diff --git a/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.OpenBus b/tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/NB3000 USB Video/USB_Video_System.OpenBus diff --git a/altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.c b/tests/altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.c similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.c rename to tests/altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.c diff --git a/altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.h b/tests/altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.h similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.h rename to tests/altium_crap/Soft Designs/Display/Text Display/Embedded/BPreplay8.h diff --git a/altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.PrjEmb b/tests/altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.PrjEmb rename to tests/altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.PrjEmb diff --git a/altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.SwPlatform b/tests/altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.SwPlatform rename to tests/altium_crap/Soft Designs/Display/Text Display/Embedded/Text_Display.SwPlatform diff --git a/altium_crap/Soft Designs/Display/Text Display/Embedded/main.c b/tests/altium_crap/Soft Designs/Display/Text Display/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Embedded/main.c rename to tests/altium_crap/Soft Designs/Display/Text Display/Embedded/main.c diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display.Constraint b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.Constraint similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display.Constraint rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.Constraint diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display.Harness b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display.Harness rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.Harness diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display.OpenBusStructure b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.OpenBusStructure similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display.OpenBusStructure rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.OpenBusStructure diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpg b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpg rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpg diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpgStructure b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display.SchDoc b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display.SchDoc rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display.SchDoc diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display_System.Harness b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display_System.Harness rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display_System.Harness diff --git a/altium_crap/Soft Designs/Display/Text Display/Text_Display_System.OpenBus b/tests/altium_crap/Soft Designs/Display/Text Display/Text_Display_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Display/Text Display/Text_Display_System.OpenBus rename to tests/altium_crap/Soft Designs/Display/Text Display/Text_Display_System.OpenBus diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPG b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPG rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPG diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPGStructure b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPGStructure rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.PRJFPGStructure diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.SCHDOC b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.SCHDOC rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/Bus_Connections.SCHDOC diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Connections/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpg b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpg rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpg diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpgStructure b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpgStructure rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.PrjFpgStructure diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.SchDoc b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.SchDoc similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.SchDoc rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/Bus_Constants.SchDoc diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Bus Constants/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpg b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpg rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpg diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpgStructure b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpgStructure rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.PrjFpgStructure diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.SchDoc b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.SchDoc similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.SchDoc rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/Hex_Multiplier.SchDoc diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/Hex Multiplier/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SCHDOC b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SCHDOC rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SCHDOC diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPG b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPG rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPG diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPGStructure b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPGStructure rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.PRJFPGStructure diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.SchDoc b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.SchDoc similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.SchDoc rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/LED_Chaser_Hardware.SchDoc diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/PWM.SCHDOC b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/PWM.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/PWM.SCHDOC rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/PWM.SCHDOC diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SCHDOC b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SCHDOC rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SCHDOC diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpg b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpg rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpg diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpgStructure b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpgStructure rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.PrjFpgStructure diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.SchDoc b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.SchDoc similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.SchDoc rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/LED_Chaser_IO_Module.SchDoc diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/FPGA Hardware/LED Chaser - IO Module/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.PrjEmb b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.PrjEmb rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.PrjEmb diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.SwPlatform b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.SwPlatform rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/Instrument_Service.SwPlatform diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/main.c b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/main.c rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Embedded/main.c diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/ExampleInstrumentIcon.bmp b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/ExampleInstrumentIcon.bmp similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/ExampleInstrumentIcon.bmp rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/ExampleInstrumentIcon.bmp diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Harness b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Harness similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Harness rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.Harness diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpg b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpg rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpg diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpgStructure b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.SchDoc b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.SchDoc rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service.SchDoc diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.Harness b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.Harness rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.Harness diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.OpenBus b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.OpenBus rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/Instrument_Service_System.OpenBus diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Instrument Service/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpg b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpg rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpg diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpgStructure b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.SchDoc b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.SchDoc rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/Logic_Analyzer.SchDoc diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Logic Analyser/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpg b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpg rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpg diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpgStructure b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.SchDoc b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.SchDoc rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments.SchDoc diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments_Icon.bmp b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments_Icon.bmp similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments_Icon.bmp rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/Multiple_Custom_Instruments_Icon.bmp diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Multiple Custom Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.dfm b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.dfm similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.dfm rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.dfm diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.pas b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.pas similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.pas rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIMain.pas diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIScript.PrjScr b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIScript.PrjScr similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIScript.PrjScr rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/NBI/NBIScript.PrjScr diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.Harness b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.Harness similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.Harness rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.Harness diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.SchDoc b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.SchDoc rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_Main.SchDoc diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.Harness b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.Harness rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.Harness diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.OpenBus b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.OpenBus rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/SI_System.OpenBus diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.Constraint b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.Constraint similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.Constraint rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.Constraint diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpg b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpg rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpg diff --git a/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpgStructure b/tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Instruments/Scripted Instruments/ScriptedInstruments.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Harness b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Harness rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.Harness diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/Interrupts_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/embedded/Interrupts.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Interrupts/embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Interrupts/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Interrupts/embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Interrupts/embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/Message_Queues.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Harness b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Harness rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.Harness diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/Message_Queues_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Message Queues/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Clock.Constraint b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Clock.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Clock.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_empty.bmp b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_empty.bmp similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_empty.bmp rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_empty.bmp diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_filled.bmp b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_filled.bmp similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_filled.bmp rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Graphics/plate_filled.bmp diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/NB3000 The Dining Philosophers Problem.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/NB3000 The Dining Philosophers Problem.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/NB3000 The Dining Philosophers Problem.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/NB3000 The Dining Philosophers Problem.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.c b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.c rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.c diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.h b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.h similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.h rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/The Dining Philosophers Problem.h diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Visualize.h b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Visualize.h similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Visualize.h rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/Visualize.h diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/visualize.c b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/visualize.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/visualize.c rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/Embedded/visualize.c diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.Harness b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.Harness rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.Harness diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000 The Dining Philosophers Problem_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/NB3000 Philosophers/NB3000_The_Dining_Philosophers_Problem.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Clock.Constraint b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Clock.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Clock.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_empty.bmp b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_empty.bmp similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_empty.bmp rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_empty.bmp diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_filled.bmp b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_filled.bmp similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_filled.bmp rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Graphics/plate_filled.bmp diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.c b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.c rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.c diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.h b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.h similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.h rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/The Dining Philosophers Problem.h diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.c b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.c rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.c diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.h b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.h similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.h rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/Embedded/Visualize.h diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB30_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB30_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB30_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB30_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB31_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB31_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB31_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/NB2DSK01_08_DB31_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.Harness b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.Harness rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.Harness diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The Dining Philosophers Problem_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Semaphores/Philosophers/The_Dining_Philosophers_Problem.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/Interthread_Signals.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Harness b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Harness rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.Harness diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/Interthread_Signals_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Interthread/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/Timer_Signals.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Constraint b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Harness b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Harness rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.Harness diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Signalling/Timer/Timer_Signals_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/Thread_Cancellation.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Threading/Cancellation/Thread_Cancellation_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/Thread_Interrupts.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Threading/Interrupts/Thread_Interrupts_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/Thread_Priority.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Threading/Priority/Thread_Priority_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/Threads.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Constraint b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Threading/Threads/Threads_System.OpenBus diff --git a/altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.PrjEmb b/tests/altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.PrjEmb rename to tests/altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.PrjEmb diff --git a/altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.SwPlatform b/tests/altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.SwPlatform rename to tests/altium_crap/Soft Designs/Kernel/Timers/Embedded/Timers.SwPlatform diff --git a/altium_crap/Soft Designs/Kernel/Timers/Embedded/main.c b/tests/altium_crap/Soft Designs/Kernel/Timers/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Embedded/main.c rename to tests/altium_crap/Soft Designs/Kernel/Timers/Embedded/main.c diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers.Constraint b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers.Constraint similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers.Constraint rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers.Constraint diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers.Harness b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers.Harness rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers.Harness diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpg b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpg rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpg diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpgStructure b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers.SchDoc b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers.SchDoc rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers.SchDoc diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers_System.Harness b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers_System.Harness rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers_System.Harness diff --git a/altium_crap/Soft Designs/Kernel/Timers/Timers_System.OpenBus b/tests/altium_crap/Soft Designs/Kernel/Timers/Timers_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Kernel/Timers/Timers_System.OpenBus rename to tests/altium_crap/Soft Designs/Kernel/Timers/Timers_System.OpenBus diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA075-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA075-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA075-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA075-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA1000-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA1000-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA1000-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA1000-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA150-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA150-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA150-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA150-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA300-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA300-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA300-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA300-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA450-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA450-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA450-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA450-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA600-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA600-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA600-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA600-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA750-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA750-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA750-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Actel_ProASICPlus_APA750-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/FPGA_Actel_ProASICPlus_Evaluation_Board_Rev1_0.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ACTEL_ProASICPlus_Evaluation_Board_Rev1_0_TSK51/Leds/Leds.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress_System.OpenBus b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress_System.OpenBus rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Altera_ArriaGX_PCIExpress_System.OpenBus diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded_Platform.SwPlatform b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded_Platform.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded_Platform.SwPlatform rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/Embedded_Platform.SwPlatform diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ARRIAGX/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FPGA_Actel_Fusion_Eval_Board1_Rev1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FUSION_CLK.edn b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FUSION_CLK.edn similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FUSION_CLK.edn rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_Fusion_Eval_Board1_Rev1_AB_SYSTEM/FUSION_CLK.edn diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE1500-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE1500-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE1500-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE1500-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE3000-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE3000-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE3000-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE3000-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE600-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE600-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE600-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3E_A3PE600-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P1000-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P1000-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P1000-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P1000-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P125-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P125-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P125-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P125-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P250-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P250-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P250-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P250-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P400-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P400-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P400-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P400-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P600-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P600-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P600-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Actel_ProASIC3_A3P600-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/FPGA_Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3_TSK51/Leds/Leds.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/FPGA_51_Morse.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/Mcu1.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/Mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/Mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/Mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/Mcu1/morse.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/ProASIC3_DevKit.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/ProASIC3_DevKit.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/ProASIC3_DevKit.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Dev_Board_TSK51/ProASIC3_DevKit.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_3E_Evaluation_Board_1_Rev3.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_A3P1000-FPQ208.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_A3P1000-FPQ208.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_A3P1000-FPQ208.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Actel_ProASIC3_A3P1000-FPQ208.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/Simple.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/Simple.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/Simple.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/Simple.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/cstart.asm b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/cstart.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/cstart.asm rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/cstart.asm diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Actel_ProASIC3_Evaluation_Board_1_Rev3_TSK3000/Simple.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/Counter/Counter.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_Spartan2_B5-X200_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_SpartanII_B5-X200_Board.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_SpartanII_B5-X200_Board.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_SpartanII_B5-X200_Board.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2 B5-X200 - TSK51/FPGA_Burch_SpartanII_B5-X200_Board.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/Counter/Counter.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Burch Spartan2E B5-X300/FPGA_Burch_Spartan2E_B5-X300_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/DigitalIO/DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONE20/FPGA_165B_Altera_Cyclone20_Nios_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Altera_Cyclone_II_Nios_II_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/Embedded.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/Embedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/Embedded.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/Embedded.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEII/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_CycloneIII_Starter_Kit.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_CycloneIII_Starter_Kit.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_CycloneIII_Starter_Kit.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_CycloneIII_Starter_Kit.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit_System.OpenBus b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit_System.OpenBus rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/FPGA_Altera_Cyclone_III_Starter_Kit_System.OpenBus diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED_Platform.SwPlatform b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED_Platform.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED_Platform.SwPlatform rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/LED_Platform.SwPlatform diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/CYCLONEIII/embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan 3 Board/FPGA_Digilent_Spartan3_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab 2FT/FPGA_Digilent_Spartan2E_Digilab_2FT.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E_top.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E_top.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E_top.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent Spartan2E Digilab IIE/FPGA_Digilant_Spartan2E_D2E_top.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/FPGA_Digilent_XC9500_DigiLab_XC95.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/Pwm.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/Pwm.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/Pwm.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Digilent XC9500 DigiLab XC95/Pwm.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/FPGA_ElCamino_DIGILAB_picoMAX_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket84.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket84.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket84.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMAX_Socket84.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3032LC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3032LC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3032LC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3032LC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3064LC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3064LC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3064LC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX3064LC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032AELC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032AELC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032AELC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032AELC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032BLC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032BLC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032BLC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032BLC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032SLC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032SLC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032SLC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7032SLC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064AELC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064AELC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064AELC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064AELC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064BLC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064BLC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064BLC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064BLC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064SLC44.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064SLC44.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064SLC44.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7064SLC44.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128AELC84.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128AELC84.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128AELC84.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128AELC84.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128SLC84.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128SLC84.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128SLC84.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7128SLC84.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7160SLC84.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7160SLC84.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7160SLC84.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/ElCamino DIGILAB picoMAX Dev Board/PicoMax_MAX7160SLC84.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/Embedded.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/Embedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/Embedded.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/Embedded.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/FPGA_Lattice_EC-ECP_Standard_Evaluation_Board_RevB.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_ECP_ECP20-F484.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_ECP_ECP20-F484.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_ECP_ECP20-F484.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_ECP_ECP20-F484.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_EC_EC6-F484.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_EC_EC6-F484.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_EC_EC6-F484.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice EC-ECP Std Eval Board Rev B - TSK51/Lattice_EC_EC6-F484.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/main.asm b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/main.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/main.asm rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter/main.asm diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice MachXO Std Eval Board Rev001 - TSK165B/Counter_165B.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/Embedded.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/Embedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/Embedded.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/Embedded.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Lattice XP Std Eval Board Rev B - TSK3000/FPGA_Lattice_XP_Standard_Evaluation_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Hello Led - LH75401/Hello_Led_LH75401.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/Simple_UART_LH79520.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79520/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/Simple_UART_LH79524.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART - LH79524/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.ht b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.ht rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Logic Zoom SDK - ARM/Logic Zoom SDK - Simple UART.ht diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CPLD95XL Demo Board/FPGA_Memec_CPLD95XL_Demo_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/FPGA_Memec_CoolRunner_XPLA3_Demo_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/LCD_XOR.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/LCD_XOR.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/LCD_XOR.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec CoolRunner XPLA3 Demo Board/LCD_XOR.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/FPGA_Memec_SpartanII_DemoBoard_Rev3.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/XOR_LCD.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/XOR_LCD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/XOR_LCD.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan II Demo Board Rev3/XOR_LCD.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.C b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.C rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.C diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan2E System Board Rev1/FPGA_Memec_Spartan2E_System_Board_Rev1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/Counter/Counter.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Spartan3 SxLC Rev1 - TSK51/FPGA_Memec_Spartan3_SxLC_Rev1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/8X8PWM.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/8X8PWM.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/8X8PWM.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/8X8PWM.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/FPGA_Memec_Virtex2_V2MB1000_Rev3_Dev_Kit.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/LedChaser/LedChaser.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/PWM.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/PWM.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/PWM.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2 V2MB1000 Rev3 Dev Kit/PWM.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/FPGA_Memec_Virtex2P4_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.asm b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.asm rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex2P4 dev board/Message/Message.asm diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/FPGA_Memec_Virtex4_FX12_LC_Rev1_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/LcdControl.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/MsgPatterns.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 FX12 LC - TSK3000/Lcd/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/FPGA_Memec_Virtex4_LX25_LC_Rev1_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/LcdControl.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/MsgPatterns.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec Virtex4 LX25 LC - TSK3000/LCD/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Memec XC9500XV Demo Board/FPGA_Memec_XC9500XV_Demo_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/FPGA_NuHorizons_CoolRunnerII_Dev_Board_Rev2.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/LCD_XOR.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/LCD_XOR.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/LCD_XOR.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons CoolRunnerII Dev Board Rev2/LCD_XOR.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/FPGA_NuHorizons_Spartan3_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.ASM b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.ASM rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.ASM diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/NuHorizons Spartan3 Dev. Board - TSK165B/StopWatch/StopWatch.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6ES.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6ES.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6ES.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/EP1S10F672C6ES.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/FPGA_Stratix_672_SmartPack.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/Joiners.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/Joiners.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/Joiners.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/Joiners.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/TSK165_PWM.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/TSK165_PWM.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/TSK165_PWM.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/TSK165_PWM.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/tsk165_pwm.ASM b/tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/tsk165_pwm.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/tsk165_pwm.ASM rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/PARALLAX/TSK165_PWM/tsk165_pwm.ASM diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/Digital IO/DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIX10/FPGA_Altera_Stratix10_Nios_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Altera_Stratix_II_Nios_II_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5ES.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5ES.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5ES.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/EP2S60F672C5ES.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/Embedded.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/Embedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/Embedded.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/Embedded.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXII/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/Common.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/Common.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/Common.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/Common.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb.cri b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb.cri similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb.cri rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.PrjEmb.cri diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.SwPlatform b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.SwPlatform rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/LCD.SwPlatform diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/chars.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/chars.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/chars.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/chars.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/devices.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/devices.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/devices.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/devices.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/generic_devices.h b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/generic_devices.h rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/generic_devices.h diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Harness b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Harness similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Harness rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.Harness diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.Harness b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.Harness rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.Harness diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.OpenBus b/tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.OpenBus rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/STRATIXIII/FPGA_Altera_Stratix_III_Dev_Board_System.OpenBus diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.Constraint b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.Constraint rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.Constraint diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.SchDoc b/tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/3rd PB/Xilinx Spartan3 Starter Kit/FPGA_Xilinx_Spartan3_Starter_Kit.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/Counter/Counter.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/FPGA_Z80_Counter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/INPORT2x8.vhd b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/INPORT2x8.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/INPORT2x8.vhd rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/INPORT2x8.vhd diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/OUTPORT2x8.vhd b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/OUTPORT2x8.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX01/OUTPORT2x8.vhd rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX01/OUTPORT2x8.vhd diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/DigitalIO/DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/FPGA_165B_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX02/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/CounterFlag.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/CounterFlag.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/CounterFlag.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/CounterFlag.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffAddressCheck.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffAddressCheck.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffAddressCheck.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffAddressCheck.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/FrameBuffer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Tcl b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Tcl similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Tcl rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedDiffSig.Tcl diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/HighSpeedEmbedded.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/HighSpeedEmbedded.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/HighSpeedEmbedded.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/HighSpeedEmbedded.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/highspeedembeddedmain.c b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/highspeedembeddedmain.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/highspeedembeddedmain.c rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/HighSpeedEmbedded/highspeedembeddedmain.c diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemReadController.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemReadController.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemReadController.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemReadController.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemWriteController.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemWriteController.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemWriteController.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/MemWriteController.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/ReceiveController.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/ReceiveController.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/ReceiveController.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/ReceiveController.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/SpartanIIE_IO.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/SpartanIIE_IO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/SpartanIIE_IO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/SpartanIIE_IO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TagCheck.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TagCheck.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TagCheck.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TagCheck.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/Tester.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/Tester.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/Tester.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/Tester.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TransmitController.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TransmitController.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TransmitController.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX03/TransmitController.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/FPGA_51_I2C_ADC_DAC.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/I2C_ADC_DAC/I2C_ADC_DAC.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX04/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Tcl b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Tcl similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Tcl rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/FPGA_52_I2C_ADC_DAC.Tcl diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/I2c_adc_dac/I2C_ADC_DAC.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX05/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_01/DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/DigitalIO_02/DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/FPGA_165B_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.PAS b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.PAS similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.PAS rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.PAS diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.dfm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.dfm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.dfm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/TestMain.dfm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/ValueList.txt b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/ValueList.txt similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/ValueList.txt rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX06/Scripts/ValueList.txt diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/FPGA_51_Morse.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/MCU1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/MCU1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/MCU1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/MCU1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.C b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.C rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.C diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.c b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.c rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.c diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.h b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.h rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/Mcu1/morse.h diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX07/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Tcl b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Tcl similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Tcl rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/FPGA_52_Morse.Tcl diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/MCU1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/MCU1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/MCU1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/MCU1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/Mcu1.c b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/Mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/Mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/Mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.c b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.c rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.c diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.h b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.h rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/Mcu1/morse.h diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX08/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX08/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO_Base.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO_Base.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO_Base.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/FPGA_165A_Repeat_DigitalIO_Base.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX09/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO_Base.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO_Base.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO_Base.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/FPGA_165B_Repeat_DigitalIO_Base.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX10/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.SchDoc b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/FPGA_165A_Watchdog.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog.Vhd b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog.Vhd rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog.Vhd diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.asm b/tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.asm rename to tests/altium_crap/Soft Designs/Legacy/8Bit uP/EX11/Watchdog/Watchdog.asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TEST.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TEST.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TEST.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TEST.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TESTER.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TESTER.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TESTER.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/EV_TESTER.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LCD.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/LED7.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Strio.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Strio.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Strio.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Strio.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Timer.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Timer.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Timer.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Timer.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Tunes.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Tunes.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Tunes.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Tunes.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Uart.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/VLCD.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/Xram.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/hware.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/hware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/hware.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/hware.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/ps2.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/strio.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/strio.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/strio.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/strio.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/timer.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/timer.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Embedded/timer.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/EvalBoardTester.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/Audio.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/Audio.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/Audio.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/Audio.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/BusSplitter.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/BusSplitter.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/BusSplitter.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/BusSplitter.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/CPU51.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/CPU51.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/CPU51.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/CPU51.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/ColourConverter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/ColourConverter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/ColourConverter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/ColourConverter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/DeltaSigma8.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/DeltaSigma8.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/DeltaSigma8.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/DeltaSigma8.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/EvalBoardTester.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/EvalBoardTester.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/EvalBoardTester.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/EvalBoardTester.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/J3x8_1x19.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/J3x8_1x19.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/J3x8_1x19.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/J3x8_1x19.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/NoteDividerTable.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/NoteDividerTable.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/NoteDividerTable.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/NoteDividerTable.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/PS2_INT.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/PS2_INT.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/PS2_INT.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/PS2_INT.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/RS_232.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/RS_232.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/RS_232.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/RS_232.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SFR_PORTS.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SFR_PORTS.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SFR_PORTS.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SFR_PORTS.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SineWave.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SineWave.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SineWave.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/SineWave.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TColourBar.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TColourBar.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TColourBar.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TColourBar.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDesktop.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDesktop.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDesktop.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDesktop.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDither.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDither.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDither.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TDither.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TLCDDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TLCDDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TLCDDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TLCDDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TSevenSegmentDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TSevenSegmentDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TSevenSegmentDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TSevenSegmentDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow12.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow12.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow12.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/TWindow12.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/UIO_INT.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/UIO_INT.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/UIO_INT.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/UIO_INT.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/addTrans.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/addTrans.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/addTrans.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/Hardware/addTrans.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/font.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/font.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/font.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX01/font.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/7SegSplitter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/7SegSplitter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/7SegSplitter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/7SegSplitter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/FPGA_Alarm_Clock.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/Splitter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/Splitter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/Splitter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/Splitter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TColour2to3.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TColour2to3.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TColour2to3.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TColour2to3.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDesktop.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDesktop.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDesktop.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDesktop.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TRange.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TRange.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TRange.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TRange.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TSevenSegmentDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TSevenSegmentDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TSevenSegmentDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TSevenSegmentDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCompare.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCompare.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCompare.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCompare.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCounter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCounter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCounter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeCounter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeMux.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeMux.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeMux.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX02/TimeMux.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX03/FPGA_LAX_Counter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/DIV2_8B.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/DIV2_8B.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/DIV2_8B.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/DIV2_8B.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/EvaluationCircuit.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/EvaluationCircuit.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/EvaluationCircuit.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/EvaluationCircuit.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/FPGA_Video_Windows.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TBarLedWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TBarLedWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TBarLedWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TBarLedWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TColour2to3.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TColour2to3.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TColour2to3.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TColour2to3.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControl.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControl.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControl.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControl.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControlWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControlWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControlWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TControlWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDesktop.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDesktop.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDesktop.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDesktop.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TFillNoise.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TFillNoise.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TFillNoise.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TFillNoise.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLayerManager.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLayerManager.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLayerManager.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLayerManager.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLogicWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLogicWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLogicWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TLogicWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouse.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouse.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouse.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouse.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseCursor.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseCursor.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseCursor.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseCursor.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseEvent.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseEvent.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseEvent.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TMouseEvent.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TNoiseWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TNoiseWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TNoiseWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TNoiseWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TPaletteWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TPaletteWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TPaletteWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TPaletteWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TSystemTimers.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TSystemTimers.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TSystemTimers.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TSystemTimers.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TTraceWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TTraceWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TTraceWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TTraceWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TWindow.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TWindow.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TWindow.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX04/TWindow.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/ConsoleController.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/ConsoleController.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/ConsoleController.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/ConsoleController.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/FPGA_51_Console.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/MCU1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/MCU1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/MCU1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/MCU1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/conio.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/mcu1.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/Mcu1/mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TColour2to3.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TColour2to3.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TColour2to3.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TColour2to3.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TConsoleWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TConsoleWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TConsoleWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TConsoleWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDesktop.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDesktop.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDesktop.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDesktop.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/TWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/font.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/font.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/font.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX05/font.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/FPGA_51_Countdown.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/Countdown_main.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/Countdown_main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/Countdown_main.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/Countdown_main.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/EB_Wave.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/EB_Wave.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/EB_Wave.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/EB_Wave.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/keyboard.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/rttl.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Firmware/seven_segment.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/FPGA_51_Countdown.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/FPGA_51_Countdown.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/FPGA_51_Countdown.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/FPGA_51_Countdown.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/LedsCounter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/LedsCounter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/LedsCounter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/LedsCounter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SegmentDriver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SegmentDriver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SegmentDriver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SegmentDriver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SoundGen.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SoundGen.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SoundGen.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX06/Hardware/SoundGen.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.ht b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.ht rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/FPGA_51_Countdown.ht diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Countdown_main.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Countdown_main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Countdown_main.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Countdown_main.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EB_Wave.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EB_Wave.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EB_Wave.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EB_Wave.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EBwav_main.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EBwav_main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EBwav_main.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/EBwav_main.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Hware.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Hware.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Hware.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/Hware.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/STRIO.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/TIMER.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UART.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/UTIL.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WAVE.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/WSWAP.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/conio.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Firmware/seven_segment.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/CPU_51.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/CPU_51.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/CPU_51.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/CPU_51.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ClockDivider.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ClockDivider.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ClockDivider.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ClockDivider.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ConsoleController.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ConsoleController.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ConsoleController.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/ConsoleController.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/DeltaSigma12.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/DeltaSigma12.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/DeltaSigma12.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/DeltaSigma12.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/FPGA_51_Countdown.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/FPGA_51_Countdown.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/FPGA_51_Countdown.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/FPGA_51_Countdown.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SFR_EXPANDER.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SFR_EXPANDER.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SFR_EXPANDER.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SFR_EXPANDER.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SRAM_INT.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SRAM_INT.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SRAM_INT.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SRAM_INT.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SegmentDriver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SegmentDriver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SegmentDriver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/SegmentDriver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TColour2to3.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TColour2to3.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TColour2to3.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TColour2to3.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TConsoleWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TConsoleWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TConsoleWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TConsoleWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDesktop.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDesktop.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDesktop.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDesktop.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TLevelMeterWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TLevelMeterWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TLevelMeterWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TLevelMeterWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/TWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/div1p5.vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/div1p5.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/div1p5.vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/Hardware/div1p5.vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/font.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/font.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/font.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/font.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/ringin.wav b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/ringin.wav similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/ringin.wav rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX07/ringin.wav diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.asm b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.asm rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/Counter/Counter.asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/FPGA_Z80_Counter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/INPORT2x8.vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/INPORT2x8.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/INPORT2x8.vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/INPORT2x8.vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/OUTPORT2x8.vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/OUTPORT2x8.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/OUTPORT2x8.vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX08/OUTPORT2x8.vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/DigitalIO/DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX09/FPGA_165B_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/FPGA_51_Morse.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/mcu1.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX10/Mcu1/morse.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/FPGA_52_Morse.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/MCU1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/MCU1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/MCU1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/MCU1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/Mcu1.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/Mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/Mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/Mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/Mcu1/morse.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX11/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/165A_Repeat_DigitalIO/165A_Repeat_DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO_Base.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO_Base.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO_Base.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX12/FPGA_165A_Repeat_DigitalIO_Base.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/165B_Repeat_DigitalIO/165B_Repeat_DigitalIO.asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO_Base.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO_Base.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO_Base.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX13/FPGA_165B_Repeat_DigitalIO_Base.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Divider.schdoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Divider.schdoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Divider.schdoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Divider.schdoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/FPGA_165B_StopWatch_7SEG.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Splitter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Splitter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Splitter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/Splitter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.Asm b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.Asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.Asm rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.Asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX14/StopWatch/StopWatch.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/Divider.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/Divider.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/Divider.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/Divider.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/FPGA_165B_StopWatch.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/LCD_Controller.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/LCD_Controller.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/LCD_Controller.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/LCD_Controller.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.ASM b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.ASM rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.ASM diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/StopWatch/StopWatch.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TColour2to3.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TColour2to3.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TColour2to3.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TColour2to3.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDesktop.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDesktop.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDesktop.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDesktop.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TLCDDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TLCDDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TLCDDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TLCDDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/TWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/font.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/font.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/font.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX15/font.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/FPGA_165A_Watchdog.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.asm b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.asm rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX16/Watchdog/Watchdog.asm diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/BarGraph.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/BarGraph.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/BarGraph.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/BarGraph.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/CPU_51.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/CPU_51.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/CPU_51.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/CPU_51.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/DeltaSigma12.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/DeltaSigma12.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/DeltaSigma12.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/DeltaSigma12.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.ht b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.ht rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/FPGA_51_Wave_Player.ht diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Hware.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Hware.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Hware.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Hware.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/MCU_Wave_Player.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/MCU_Wave_Player.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/MCU_Wave_Player.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/MCU_Wave_Player.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/STRIO.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/TIMER.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UART.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/UTIL.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WAVE.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/WSWAP.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Wave_Player.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Wave_Player.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Wave_Player.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/MCU_Wave_Player/Wave_Player.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SFR_EXPANDER.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SFR_EXPANDER.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SFR_EXPANDER.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SFR_EXPANDER.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SRAM_INT.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SRAM_INT.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SRAM_INT.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/SRAM_INT.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/div1p5.vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/div1p5.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/div1p5.vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/div1p5.vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/ringin.wav b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/ringin.wav similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/ringin.wav rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX17/ringin.wav diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Altium Mod Player Example.doc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Altium Mod Player Example.doc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Altium Mod Player Example.doc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Altium Mod Player Example.doc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/DeltaSigma12.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/DeltaSigma12.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/DeltaSigma12.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/DeltaSigma12.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Div1p5.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Div1p5.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Div1p5.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Div1p5.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/AudioMixer.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/AudioMixer.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/AudioMixer.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/AudioMixer.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Config.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Config.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Config.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Config.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Datatype.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Datatype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Datatype.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Datatype.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Hware.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Hware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Hware.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Hware.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Main.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Main.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Main.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/MixerControl.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Mod.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Mod.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Mod.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/Mod.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/ModPlayer.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/ModPlayer.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/ModPlayer.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/ModPlayer.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/SIO.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/StrIO.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/TSK3000_Reg.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/audiomixer.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/audiomixer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/audiomixer.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/audiomixer.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/fractional.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/fractional.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/fractional.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/fractional.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/mod.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/mod.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/mod.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Embedded/mod.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Led_Int.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Led_Int.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Led_Int.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Led_Int.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Port32_IO.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Port32_IO.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Port32_IO.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Port32_IO.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Counter.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Counter.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Counter.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Counter.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Int.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Int.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Int.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Int.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Mux.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Mux.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Mux.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Mux.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Seqencer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Seqencer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Seqencer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/Sample_Seqencer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.ht b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.ht rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player.ht diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Altera.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/TSK3000A_MOD_Player_Xilinx.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/WB_Read_Master.Vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/WB_Read_Master.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/WB_Read_Master.Vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/WB_Read_Master.Vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harbbize.mod b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harbbize.mod similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harbbize.mod rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harbbize.mod diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harjedal.mod b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harjedal.mod similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harjedal.mod rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX18/harjedal.mod diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_7Seg_Decoder.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_7Seg_Decoder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_7Seg_Decoder.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_7Seg_Decoder.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Interconnect.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Interconnect.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Interconnect.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Interconnect.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Port.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Port.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Port.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Port.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_PortSwitcher.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_PortSwitcher.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_PortSwitcher.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_PortSwitcher.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Processor.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Processor.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Processor.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/FPGA_Processor.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/PortSwitcherEmb1C.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/PortSwitcherEmb1C.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/PortSwitcherEmb1C.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/PortSwitcherEmb1C.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/portswitcher1c.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/portswitcher1c.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/portswitcher1c.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherEmb/portswitcher1c.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.ht b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.ht rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX19/PortSwitcherFPGA1C_Evalboard.ht diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/AP0127 Video Arcade Games EvalBoard Example.pdf b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/AP0127 Video Arcade Games EvalBoard Example.pdf similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/AP0127 Video Arcade Games EvalBoard Example.pdf rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/AP0127 Video Arcade Games EvalBoard Example.pdf diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/CodecDAC.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/CodecDAC.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/CodecDAC.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/CodecDAC.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/FSR.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/FSR.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/FSR.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/FSR.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SigmaDelta.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SigmaDelta.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SigmaDelta.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SigmaDelta.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SoundDAC.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SoundDAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SoundDAC.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/SoundDAC.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VGAController.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VGAController.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VGAController.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VGAController.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcade.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/VideoArcadeMCUX2.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/flipRow.VHDL b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/flipRow.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/flipRow.VHDL rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/flipRow.VHDL diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/project_tile.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/project_tile.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/project_tile.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/project_tile.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_0.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_0.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_0.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_0.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_1.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_1.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_1.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_1.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_2.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_2.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_2.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_2.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_3.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_3.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_3.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/sprite_3.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/terminal_font.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/terminal_font.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/terminal_font.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/graphics/terminal_font.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mapCtl.VHDL b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mapCtl.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mapCtl.VHDL rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mapCtl.VHDL diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcu.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcu.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcu.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcu.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuA.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuA.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuA.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuA.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuB.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuB.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuB.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/mcuB.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/myLCD16X2A.vhd b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/myLCD16X2A.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/myLCD16X2A.vhd rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/myLCD16X2A.vhd diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/game_snd.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/game_snd.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/game_snd.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/game_snd.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo0.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo0.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo0.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo0.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo1.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo1.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo1.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sound/snddemo1.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/spt8MapMCUx2.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/spt8MapMCUx2.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/spt8MapMCUx2.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/spt8MapMCUx2.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiter.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiter.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiter.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiter.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiterX2.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiterX2.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiterX2.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArbiterX2.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArray.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArray.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArray.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArray.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArrayX2.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArrayX2.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArrayX2.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptArrayX2.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlSch.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlSch.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlSch.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlSch.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlVHDL.VHDL b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlVHDL.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlVHDL.VHDL rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptCtlVHDL.VHDL diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptMem2K.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptMem2K.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptMem2K.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptMem2K.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptPriHit.VHDL b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptPriHit.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptPriHit.VHDL rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptPriHit.VHDL diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptReg.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptReg.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptReg.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/sptReg.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/tileMap.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/tileMap.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/tileMap.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/tileMap.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/vgacfg.VHDL b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/vgacfg.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/vgacfg.VHDL rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/HardwarePlatform/vgacfg.VHDL diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/BocMan.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/BocMan.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/BocMan.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/BocMan.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Bocanoid.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Bocanoid.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Bocanoid.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Bocanoid.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Games.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Games.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Games.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Games.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/arkanoid.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/demo.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/main.C b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/main.C rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/main.C diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/nano_hw.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/nano_hw.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/nano_hw.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/nano_hw.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/osdepend.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/osdepend.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/osdepend.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/osdepend.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman_map.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman_map.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman_map.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/pacman_map.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/Software/Source/terminal.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/VideoArcadeGames.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/VideoArcadeGames.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/VideoArcadeGames.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/VideoArcadeGames.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_games.pas b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_games.pas similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_games.pas rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_games.pas diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_terminal.pas b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_terminal.pas similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_terminal.pas rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/EX20/config_terminal.pas diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/FPGA_Countdown.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/ModDownCounter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/ModDownCounter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/ModDownCounter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/ModDownCounter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/Splitter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/Splitter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/Splitter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Countdown Timer/Splitter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/Hex Multiplier/FPGA_HexMultiplier.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/BrightnessPalette.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/DRIVERS.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/FPGA_LedChaser_EvalBoard.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/PWM.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/PWM.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/PWM.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/PWM.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - Hardware/SHIFTER.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/LED Chaser - IO Module/FPGA_LED_Chasser_IO_Module.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/FPGA_SineWave.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/SineOsc.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/SineOsc.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/SineOsc.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Hardware/SineWave/SineOsc.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/ConsoleController.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/ConsoleController.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/ConsoleController.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/ConsoleController.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.Constraint b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.Constraint rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.Constraint diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.ht b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.ht rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/FPGA_51_Serial.ht diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/MCU1.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/MCU1.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/MCU1.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/MCU1.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/MCU1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/MCU1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/MCU1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/MCU1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/Timer.H b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/Timer.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/Timer.H rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/Timer.H diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/conio.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/mcu1.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.h b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.h rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/serial.h diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/timer.c b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/timer.c rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/Mcu1/timer.c diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TColour2to3.schDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TColour2to3.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TColour2to3.schDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TColour2to3.schDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TConsoleWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TConsoleWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TConsoleWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TConsoleWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDesktop.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDesktop.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDesktop.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDesktop.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TWindow.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TWindow.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TWindow.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/TWindow.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/font.hex b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/font.hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/font.hex rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/FPGA Processor/Serial - TSK51/font.hex diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_AUDIO_OUT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_AUDIO_OUT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_AUDIO_OUT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_AUDIO_OUT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_LED_KEY.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_LED_KEY.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_LED_KEY.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_LED_KEY.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_PCIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_PCIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_PCIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_PCIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_SRAM.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_SRAM.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_SRAM.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_SRAM.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_USRIO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_USRIO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_USRIO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_USRIO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_XTALOSC.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_XTALOSC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_XTALOSC.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Common/NEB_XTALOSC.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/EB2_Cyclone_1_03.PcbDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/EB2_Cyclone_1_03.PcbDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/EB2_Cyclone_1_03.PcbDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/EB2_Cyclone_1_03.PcbDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/FPGA_CYCLONE.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/FPGA_CYCLONE.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/FPGA_CYCLONE.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/FPGA_CYCLONE.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_BYTEBLASTER_INT.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_BYTEBLASTER_INT.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_BYTEBLASTER_INT.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_BYTEBLASTER_INT.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_PSU_CYCLONE.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_PSU_CYCLONE.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_PSU_CYCLONE.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_PSU_CYCLONE.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_TOP_CYCLONE.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_TOP_CYCLONE.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_TOP_CYCLONE.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/Cyclone FBGA324 1.03 EB2/NEB_TOP_CYCLONE.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCB b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCB similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCB rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCB diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCBStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCBStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCBStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Cyclone_Specific/EB2_Cyclone_BGA324 1_03.PrjPCBStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/LiveDesign Evaluation Boards.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/LiveDesign Evaluation Boards.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/LiveDesign Evaluation Boards.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/LiveDesign Evaluation Boards.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCB b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCB similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCB rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCB diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCBStructure b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCBStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCBStructure rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/EB1_Spartan_III_BGA456 1_02.PrjPCBStructure diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/EB1_Spartan_III_1_02.PcbDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/EB1_Spartan_III_1_02.PcbDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/EB1_Spartan_III_1_02.PcbDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/EB1_Spartan_III_1_02.PcbDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/FPGA_SPARTAN_III.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/FPGA_SPARTAN_III.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/FPGA_SPARTAN_III.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/FPGA_SPARTAN_III.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_ISE_INT.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_ISE_INT.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_ISE_INT.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_ISE_INT.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_PSU_SPARTAN.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_PSU_SPARTAN.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_PSU_SPARTAN.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_PSU_SPARTAN.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_TOP_SPARTAN.SchDoc b/tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_TOP_SPARTAN.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_TOP_SPARTAN.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/LiveDsn EVB/Reference Designs/LiveDesign Eval Board (EB1 EB2)/Spartan_Specific/Spartan III BGA456 1.02 EB1/NEB_TOP_SPARTAN.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/adc_max1037.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/arm7_util_timing.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/arm7_util_timing.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/arm7_util_timing.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/arm7_util_timing.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_arm7.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_arm7.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_arm7.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_arm7.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/clock_ics307.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/codec_max1104.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/courier_new_8.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/dac_max5841.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.H b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29_ppc405cr.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29_ppc405cr.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29_ppc405cr.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_am29_ppc405cr.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/flash_m25px0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_lcd_ks0066u.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_ps2.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vdu.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/io_wb_vga.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/keypad_4x4.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066u.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066uFPGA.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066uFPGA.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066uFPGA.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/lcd_ks0066uFPGA.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/nb_spi.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_bluestreak_arm7_startup.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_ppc405cr.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsk3000.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/proc_tsknios2.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/util_timing.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_emac8.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_i2c.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_ps2.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_pwm.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_spi.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_srl0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_tmr3.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vdu.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.c b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga_defs.h b/tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga_defs.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga_defs.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/Device Driver Code/wb_vga_defs.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/Interrupt0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/Interrupt0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/Interrupt0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/Interrupt0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/MAX1104_C.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/MAX1104_C.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/MAX1104_C.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/MAX1104_C.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/interrupt0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/interrupt0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/interrupt0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/interrupt0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/main.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/main.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/main.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/ntype.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/ntype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/ntype.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_C/ntype.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_CTRL.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_CTRL.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_CTRL.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_CTRL.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_TOP.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_TOP.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_TOP.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/MAX1104_TOP.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX01/Max1104.prjfpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX01/Max1104.prjfpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX01/Max1104.prjfpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX01/Max1104.prjfpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_CTRL.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_CTRL.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_CTRL.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_CTRL.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_TOP.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_TOP.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_TOP.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/MAX1104_TOP.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104.prjfpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/Interrupt0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/MAX1104_C.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/MAX1104_C.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/MAX1104_C.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/MAX1104_C.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/main.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/main.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/main.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/max1104_c_cstart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/max1104_c_cstart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/max1104_c_cstart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/max1104_c_cstart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/ntype.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/ntype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/ntype.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104_c/ntype.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104dac_ctrl.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104dac_ctrl.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104dac_ctrl.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/Max1104dac_ctrl.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/PortsSplitter.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/PortsSplitter.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/PortsSplitter.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/PortsSplitter.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/max1104_driver.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/max1104_driver.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/max1104_driver.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/max1104_driver.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX02/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX02/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX02/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX02/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/AudioCodec_FlashMemory.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/AudioCodec_FlashMemory.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/AudioCodec_FlashMemory.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/AudioCodec_FlashMemory.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/FPGA_AudioCodec_Flash.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/KeyPadScanner.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/KeyPadScanner.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/KeyPadScanner.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/KeyPadScanner.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/LogicAnalyser.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/LogicAnalyser.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/LogicAnalyser.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/LogicAnalyser.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/MCU_Master.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/MCU_Master.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/MCU_Master.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/MCU_Master.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/SoundProcessor.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/SoundProcessor.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/SoundProcessor.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/SoundProcessor.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/TSK165_Sound.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/TSK165_Sound.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/TSK165_Sound.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundProcessor/TSK165_Sound.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundRateGenerator.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundRateGenerator.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/SoundRateGenerator.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/SoundRateGenerator.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX03/swtheme_11_KHz.Snd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX03/swtheme_11_KHz.Snd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX03/swtheme_11_KHz.Snd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX03/swtheme_11_KHz.Snd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Buzzer/Buzzer.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/FPGA_51_C_165B_ASM_Buzzer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX04/Keypad/Keypad.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer/Buzzer.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer_DualProcessor.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer_DualProcessor.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer_DualProcessor.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Buzzer_DualProcessor.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/FPGA_51_C_165B_ASM_Buzzer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/KEYPAD.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/KEYPAD.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/KEYPAD.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/KEYPAD.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/Keypad.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/Keypad.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/Keypad.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX05/Keypad/Keypad.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer/Buzzer.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer_DualProcessor.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer_DualProcessor.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer_DualProcessor.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Buzzer_DualProcessor.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/FPGA_52_C_165B_ASM_Buzzer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX06/Keypad/Keypad.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/CAN_Receiver.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/def.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/def.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/def.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/CAN_Receiver/def.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/FPGA_52_CAN_Receiver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/McuMemory.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/McuMemory.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/McuMemory.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/McuMemory.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX07/wb_decoder.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX07/wb_decoder.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX07/wb_decoder.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX07/wb_decoder.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/FPGA_165B_DigitizedSound.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/I2C_Arbitration.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/I2C_Arbitration.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/I2C_Arbitration.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/I2C_Arbitration.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/LogicAnalyser.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/LogicAnalyser.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/LogicAnalyser.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/LogicAnalyser.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/MCU_Master.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/MCU_Master.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/MCU_Master.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/MCU_Master.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/PWM.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/PWM.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/PWM.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/PWM.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/SW_Assignments.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SW_Assignments.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/SW_Assignments.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SW_Assignments.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundGenerator.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundGenerator.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/SoundGenerator.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundGenerator.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/SoundProcessor.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/SoundProcessor.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/SoundProcessor.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/SoundProcessor.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/TSK165_Sound.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/TSK165_Sound.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/TSK165_Sound.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/SoundProcessor/TSK165_Sound.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Logoff Sound.SND b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Logoff Sound.SND similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Logoff Sound.SND rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Logoff Sound.SND diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Startup_8.Snd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Startup_8.Snd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Startup_8.Snd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/Windows XP Startup_8.Snd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme.Snd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme.Snd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme.Snd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme.Snd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme_11_KHz.Snd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme_11_KHz.Snd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme_11_KHz.Snd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/swtheme_11_KHz.Snd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX08/tada_8.Snd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX08/tada_8.Snd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX08/tada_8.Snd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX08/tada_8.Snd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/FPGA_51_Ethernet_Ping_E_MAC.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/drivers/eth_driver.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/drivers/eth_driver.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/drivers/eth_driver.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/drivers/eth_driver.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/ethernet.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys_rom.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys_rom.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys_rom.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/sys_rom.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip_global.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip_global.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip_global.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/common/tcpip_global.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/sys_8051fpga.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/fpga/vhdl_emac.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/ping.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/ping.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/ping.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/ping.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipset.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipset.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipset.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipset.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipsysset.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipsysset.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipsysset.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tcpipsysset.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/tealib_cfg.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/tealib_cfg.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/tealib_cfg.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/tealib_cfg.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/Ping/tealib/timer0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX09/memif.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX09/memif.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX09/memif.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX09/memif.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/FPGA_51_Ethernet_Ping_E_MAC_MD.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/drivers/eth_driver.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/drivers/eth_driver.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/drivers/eth_driver.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/drivers/eth_driver.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/ethernet.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys_rom.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys_rom.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys_rom.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/sys_rom.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip_global.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip_global.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip_global.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/common/tcpip_global.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/sys_8051fpga.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/fpga/vhdl_emac.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/ping.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/ping.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/ping.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/ping.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipset.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipset.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipset.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipset.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipsysset.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipsysset.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipsysset.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tcpipsysset.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/tealib_cfg.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/tealib_cfg.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/tealib_cfg.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/tealib_cfg.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/Ping/tealib/timer0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX10/memif.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX10/memif.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX10/memif.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX10/memif.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX11/FPGA_51_Ethernet_Send_Frame_E_MAC_MD.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/SendFrame.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/SendFrame.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/SendFrame.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/SendFrame.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX11/SendFrame/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX11/memif.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX11/memif.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX11/memif.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX11/memif.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX12/FPGA_51_External_Memory.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/ExtMem.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/ExtMem.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/ExtMem.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/ExtMem.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/Ext_Mem_Ctrl/Ext_Mem_Ctrl.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX13/FPGA_52_External_Memory.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/CLOCKS.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/CLOCKS.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/CLOCKS.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/CLOCKS.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/Decoder.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/Decoder.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/Decoder.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/Decoder.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/ExternalTimer/ExternalTimer.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/FPGA_80A_ExternalTimer.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/MCU_TSK80.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/MCU_TSK80.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/MCU_TSK80.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/MCU_TSK80.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX14/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX14/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX14/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX14/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/FPGA_TSK52_I2C_Wishbone.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C_CODE.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C_CODE.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C_CODE.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/I2C_CODE.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/def.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/def.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/def.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/def.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/i2c_code.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/i2c_code.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/i2c_code.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/I2C_CODE/i2c_code.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/McuMemory.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/McuMemory.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/McuMemory.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/McuMemory.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX15/wb_decoder.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX15/wb_decoder.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX15/wb_decoder.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX15/wb_decoder.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/FPGA_165B_DAC.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/I2C_Arbitration.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/I2C_Arbitration.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/I2C_Arbitration.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/I2C_Arbitration.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/KeyPadScanner.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/KeyPadScanner.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/KeyPadScanner.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/KeyPadScanner.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Data.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Data.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Data.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Data.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Defines.Asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Defines.Asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Defines.Asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/DAC_Defines.Asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/LCD.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/LCD.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/Software/LCD.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/LCD.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/i2c.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/i2c.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX16/Software/i2c.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX16/Software/i2c.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/TSK51A_IO_Redirect_LCD_KeyPad.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/TSK51A_IO_Redirect_LCD_KeyPad.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/TSK51A_IO_Redirect_LCD_KeyPad.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/TSK51A_IO_Redirect_LCD_KeyPad.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_read.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_read.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_read.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_read.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_write.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_write.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_write.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/_write.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/lcd.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/FPGA_TSK51A_IO_Redirect_LCD_KeyPad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/extmmu51.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/extmmu51.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/extmmu51.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/extmmu51.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX17/uart115200.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX17/uart115200.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX17/uart115200.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX17/uart115200.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/TSK51A_IO_Redirect_Serial.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/TSK51A_IO_Redirect_Serial.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/TSK51A_IO_Redirect_Serial.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/TSK51A_IO_Redirect_Serial.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_read.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_read.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_read.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_read.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_write.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_write.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_write.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/_write.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/lcd.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.ht b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.ht rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/FPGA_TSK51A_IO_Redirect_Serial.ht diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/extmmu51.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/extmmu51.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/extmmu51.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/extmmu51.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX18/uart115200.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX18/uart115200.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX18/uart115200.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX18/uart115200.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX19/NiosII_LCD.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/NiosII_VGA.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/VGA_Test.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/VGA_Test.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/VGA_Test.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/VGA_Test.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_defs.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_defs.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_defs.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_defs.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_test.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_test.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_test.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/vga_test.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX20/ProgramCode/wb_vga.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/FPGA_52_Keyboard.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/Interrupt0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/KeyboardCtrl.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/KeyboardCtrl.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/KeyboardCtrl.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/KeyboardCtrl.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/keyboardctrl.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/keyboardctrl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/keyboardctrl.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/keyboardctrl.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/ntype.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/ntype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/ntype.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/KeyboardCtrl/ntype.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX21/wb_decoder.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX21/wb_decoder.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX21/wb_decoder.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX21/wb_decoder.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/TSK52B_PWM8.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/TSK52B_PWM8.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/TSK52B_PWM8.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/TSK52B_PWM8.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/main.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/main.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/main.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/Embedded/main.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_W.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_W.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_W.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_W.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/FPGA_TSK52B_WD_PWM8_w.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX22/MEMCON.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX22/MEMCON.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX22/MEMCON.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX22/MEMCON.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/bmp.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/bmp.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/bmp.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/bmp.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/board.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/board.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/board.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/board.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/boc.lsl b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/boc.lsl similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/boc.lsl rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/boc.lsl diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/hook.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/hook.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/hook.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/hook.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/init.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/init.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/init.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/init.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/keypad.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/keypad.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/keypad.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/keypad.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/lcd.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/lcd.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/lcd.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/lcd.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/mytypes.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/mytypes.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/mytypes.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/mytypes.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/nexar.oil b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/nexar.oil similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/nexar.oil rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/nexar.oil diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/output.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/rtostetris.oil b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/rtostetris.oil similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/rtostetris.oil rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/rtostetris.oil diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/score.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/score.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/score.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/score.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.oil b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.oil similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.oil rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial.oil diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial_target.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial_target.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial_target.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/serial_target.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.oil b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.oil similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.oil rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/simin.oil diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.oil b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.oil similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.oil rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/standard.oil diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/stroke.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/stroke.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/stroke.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/stroke.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris_target.oil b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris_target.oil similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris_target.oil rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/tetris_target.oil diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timer.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timer.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timer.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timeslot.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timeslot.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timeslot.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/timeslot.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/types.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/types.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/types.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/types.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vga.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vga.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vga.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vga.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vt100.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vt100.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vt100.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/embedded/tetris/vt100.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Cpuif.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Cpuif.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Cpuif.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Cpuif.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Tetris.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Tetris.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Tetris.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Tetris.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgacfg.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgacfg.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgacfg.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgacfg.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgaif.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgaif.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgaif.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/Vgaif.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/dual_ram_if.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/dual_ram_if.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/dual_ram_if.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/dual_ram_if.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX23/fpga/rtos.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.ht b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.ht rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/FPGA_52_SerialO.ht diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/Mcu1.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/def.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/def.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/def.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/Serial/def.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX24/wb_decoder.vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX24/wb_decoder.vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX24/wb_decoder.vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX24/wb_decoder.vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/FPGA_165C_SimpleNetworkProtocol.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Keypad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Keypad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Keypad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Processor.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Processor.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Processor.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Processor.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/ReadMe.doc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/ReadMe.doc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/ReadMe.doc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/ReadMe.doc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/CANDriver.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/CANDriver.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/CANDriver.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/CANDriver.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/GeneralDefines.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/GeneralDefines.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/GeneralDefines.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/GeneralDefines.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkBuffers.inc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkBuffers.inc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkBuffers.inc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkBuffers.inc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkInclude.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkInclude.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkInclude.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/NetworkInclude.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/PageSelectInclude.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/PageSelectInclude.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/PageSelectInclude.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/PageSelectInclude.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/SimpleNetworkProtocol.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/Utils.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/Utils.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/Utils.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/Utils.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/UtilsInclude.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/UtilsInclude.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/UtilsInclude.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/UtilsInclude.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/simplenetworkapplication.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/simplenetworkapplication.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/simplenetworkapplication.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple Network Protocol Embedded/simplenetworkapplication.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple_Network_Protocol.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple_Network_Protocol.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX25/Simple_Network_Protocol.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX25/Simple_Network_Protocol.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/FPGA_51_SimpleNetworkProtocol.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Keypad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Keypad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Keypad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Processor.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Processor.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Processor.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Processor.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/ReadMe.doc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/ReadMe.doc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/ReadMe.doc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/ReadMe.doc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/CAN_Driver.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/GeneralDefines.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/GeneralDefines.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/GeneralDefines.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/GeneralDefines.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/Keypad.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/LCD.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/SimpleNetworkProtocol.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/simplenetworkapplication.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/simplenetworkapplication.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/simplenetworkapplication.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX26/Simple Network Protocol Embedded/simplenetworkapplication.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/Load_From_Flash.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/Load_From_Flash.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/Load_From_Flash.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/Load_From_Flash.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_flash_m25px0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_flash_m25px0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_flash_m25px0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_flash_m25px0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_nb_spi.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_nb_spi.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_nb_spi.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_nb_spi.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_proc_tsk3000.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_proc_tsk3000.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_proc_tsk3000.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_proc_tsk3000.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_util_timing.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_util_timing.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_util_timing.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_util_timing.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_wb_spi.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_wb_spi.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_wb_spi.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/critical_wb_spi.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/clock.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/clock.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/clock.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/clock.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_clock.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_clock.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_clock.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_clock.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div32.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div32.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div32.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div32.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div64.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div64.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div64.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_div64.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_mul64.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_mul64.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_mul64.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/critical_mul64.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div32.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div32.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div32.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div32.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div64.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div64.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div64.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/div64.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/mul64.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/mul64.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/mul64.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/lib_src/mul64.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX27/TSK3000_Load_From_Flash.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.UCF b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.UCF similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.UCF rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/FPGA_52_Ethernet_Send_Frame_E_MAC_W.UCF diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/SendFrame.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/SendFrame.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/SendFrame.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/SendFrame.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/SendFrame/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX28/wb_decoder.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX28/wb_decoder.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX28/wb_decoder.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX28/wb_decoder.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_C51_MEMTEST.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_C51_MEMTEST.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_C51_MEMTEST.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_C51_MEMTEST.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_CPU51.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_CPU51.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_CPU51.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_CPU51.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_FREQ_CNT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_FREQ_CNT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_FREQ_CNT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_FREQ_CNT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_I2C_INT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_I2C_INT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_I2C_INT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_I2C_INT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Kbd.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Kbd.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Kbd.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Kbd.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_LCD.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_MEMTEST.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_MEMTEST.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_MEMTEST.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_MEMTEST.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_PS2_INT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_PS2_INT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_PS2_INT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_PS2_INT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_RS_232.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_RS_232.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_RS_232.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_RS_232.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPEAKER_PWM.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPEAKER_PWM.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPEAKER_PWM.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPEAKER_PWM.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI_MUX.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI_MUX.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI_MUX.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_SPI_MUX.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Timer.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Timer.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Timer.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Timer.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_TopLevel.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_TopLevel.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_TopLevel.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_TopLevel.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_UIO_INT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_UIO_INT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_UIO_INT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_UIO_INT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_VGA.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_VGA.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_VGA.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_VGA.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_XDATA_MUX.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_XDATA_MUX.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_XDATA_MUX.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_XDATA_MUX.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Xram.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Xram.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Xram.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_Xram.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_c51_memtest_cstart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_c51_memtest_cstart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_c51_memtest_cstart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NMT_c51_memtest_cstart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/NanoBoardMemoryTester.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/SFR_PORTS.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/SFR_PORTS.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/SFR_PORTS.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/SFR_PORTS.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/Uart.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/Uart.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/Uart.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/Uart.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/UserJtagTester.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/UserJtagTester.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/UserJtagTester.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/UserJtagTester.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/hware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/hware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/hware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/hware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_kbd.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_kbd.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_kbd.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_kbd.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_spi.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_spi.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_spi.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_spi.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_timer.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_timer.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_timer.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_xram.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_xram.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_xram.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/nmt_xram.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX29/uart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX29/uart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX29/uart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX29/uart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/ARM7_Sharp_LH79520_LCD.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX30/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/CAN_Receiver/CAN_Receiver.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX31/FPGA_51_CAN_Receiver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.ASM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.ASM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.ASM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.ASM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/CAN_Receiver/CAN_Receiver.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX32/FPGA_165A_CAN_Receiver.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/CLOCKS.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/CLOCKS.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/CLOCKS.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/CLOCKS.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/Decoder.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Decoder.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/Decoder.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Decoder.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.ht b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.ht rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/FPGA_80A_HyperText.ht diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/MCU_TSK80.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/MCU_TSK80.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/MCU_TSK80.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/MCU_TSK80.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Interruptnm.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Mcu1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Mcu1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Mcu1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Mcu1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/Mcu1/Npd.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX33/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX33/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX33/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX33/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/FlashRecorder.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/FlashRecorder.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/FlashRecorder.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/FlashRecorder.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Embedded/startup.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/Flash fix.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Flash fix.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/Flash fix.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/Flash fix.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX34/PowerPC_AMCC_PPC405CR_Flash_Recorder.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/Embedded/startup.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX35/PowerPC_AMCC_PPC405CR_LCD.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/VGA.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/VGA.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/VGA.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/VGA.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/startup.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/startup.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/startup.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/Embedded/startup.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX36/PowerPC_AMCC_PPC405CR_VGA.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/PPC405_LedCounter.Asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/PPC405_LedCounter.Asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/PPC405_LedCounter.Asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/PPC405_LedCounter.Asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/TestInstructions.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/TestInstructions.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/TestInstructions.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/TestInstructions.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/hardware.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/hardware.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/hardware.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/Embedded/hardware.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX37/PPC405_RAM.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/FPGA_51_Keyboard.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/Interrupt0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/KeyboardCtrl.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/KeyboardCtrl.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/KeyboardCtrl.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/KeyboardCtrl.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/keyboardctrl.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/keyboardctrl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/keyboardctrl.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/keyboardctrl.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/ntype.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/ntype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/ntype.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/KeyboardCtrl/ntype.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX38/PortSplitters.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX38/PortSplitters.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX38/PortSplitters.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX38/PortSplitters.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/FPGA_52_Keyboard.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/Interrupt0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/KeyboardCtrl.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/KeyboardCtrl.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/KeyboardCtrl.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/KeyboardCtrl.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/keyboardctrl.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/keyboardctrl.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/keyboardctrl.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/keyboardctrl.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/ntype.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/ntype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/ntype.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/KeyboardCtrl/ntype.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/PortSplitters.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/PortSplitters.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/PortSplitters.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/PortSplitters.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/myconstr.ucf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/myconstr.ucf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/myconstr.ucf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/myconstr.ucf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX39/short_int.VHDL b/tests/altium_crap/Soft Designs/Legacy/NB1/EX39/short_int.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX39/short_int.VHDL rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX39/short_int.VHDL diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/CLOCKS.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/CLOCKS.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/CLOCKS.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/CLOCKS.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Decoder.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Decoder.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Decoder.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Decoder.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.ht b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.ht similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.ht rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/FPGA_80A_SerialO.ht diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/MCU_TSK80.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/MCU_TSK80.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/MCU_TSK80.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/MCU_TSK80.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Interruptnm.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Mcu1.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Mcu1.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Mcu1.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Mcu1.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Mcu1/Npd.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/PortSplitters.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/PortSplitters.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/PortSplitters.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/PortSplitters.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX40/Test_file/sent.txt b/tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Test_file/sent.txt similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX40/Test_file/sent.txt rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX40/Test_file/sent.txt diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/Divider.schdoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/Divider.schdoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/Divider.schdoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/Divider.schdoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/FPGA_165B_StopWatch.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.asm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.asm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.asm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/StopWatch/StopWatch.asm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX41/Stopwatch.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/NB1/EX41/Stopwatch.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX41/Stopwatch.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX41/Stopwatch.DsnWrk diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/Display_Controller.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/Display_Controller.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/Display_Controller.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/Display_Controller.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/FPGA_51_VIDEO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/MCU.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/Interrupt0.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/MCU.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/MCU.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/MCU.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/MCU.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/ntype.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/ntype.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/ntype.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/ntype.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/videotest01.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/videotest01.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/videotest01.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/MCU/videotest01.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX42/RAM_Controll.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX42/RAM_Controll.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX42/RAM_Controll.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX42/RAM_Controll.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.schdoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.schdoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.schdoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/AudioCodec_Hardware.schdoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/DivideBy5_DC50.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/DivideBy5_DC50.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/DivideBy5_DC50.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/DivideBy5_DC50.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/LogicAnalyser.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/LogicAnalyser.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/LogicAnalyser.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/LogicAnalyser.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX43/SinTable.Hex b/tests/altium_crap/Soft Designs/Legacy/NB1/EX43/SinTable.Hex similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX43/SinTable.Hex rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX43/SinTable.Hex diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/AddOffsets.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AddOffsets.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/AddOffsets.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AddOffsets.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/AppregiatorManager.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AppregiatorManager.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/AppregiatorManager.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AppregiatorManager.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_MusicMaker.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_MusicMaker.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_MusicMaker.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_MusicMaker.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.schdoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.schdoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.schdoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/AudioCodec_Polyphonic.schdoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/BaseFrequencyConstants.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/BaseFrequencyConstants.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/BaseFrequencyConstants.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/BaseFrequencyConstants.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/ChannelMixer.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ChannelMixer.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/ChannelMixer.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ChannelMixer.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/ChordMaker.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ChordMaker.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/ChordMaker.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ChordMaker.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/ChordlMixer.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ChordlMixer.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/ChordlMixer.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ChordlMixer.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.PAS b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.PAS similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.PAS rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.PAS diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.dfm b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.dfm similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.dfm rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/InstrumentScript.dfm diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/LogicAnalyser.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/LogicAnalyser.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/LogicAnalyser.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/LogicAnalyser.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/Music1.xls b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/Music1.xls similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/Music1.xls rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/Music1.xls diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/PitchToAddress.VHDL b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/PitchToAddress.VHDL similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/PitchToAddress.VHDL rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/PitchToAddress.VHDL diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/ScaleMaker.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ScaleMaker.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/ScaleMaker.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ScaleMaker.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/ScalePattern.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ScalePattern.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/ScalePattern.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/ScalePattern.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/SineWave.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/SineWave.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/SineWave.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/SineWave.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/Voice.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/Voice.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/Voice.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/Voice.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX44/Voices_All.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX44/Voices_All.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX44/Voices_All.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX44/Voices_All.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/Divider.schdoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/Divider.schdoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/Divider.schdoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/Divider.schdoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.PRJCOR b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.PRJCOR similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.PRJCOR rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.PRJCOR diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeyPadScanner.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.PRJCORStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.PRJCORStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.PRJCORStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.PRJCORStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.SCHLIB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.SCHLIB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.SCHLIB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner.SCHLIB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Altera_Cyclone.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Altera_Cyclone.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Altera_Cyclone.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Altera_Cyclone.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Xilinx_Spartan2E.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Xilinx_Spartan2E.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Xilinx_Spartan2E.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/KeypadScanner_Xilinx_Spartan2E.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/AND4S.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/AND4S.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/AND4S.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/AND4S.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CB2CEB.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CB2CEB.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CB2CEB.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CB2CEB.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV4.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV4.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV4.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV4.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV64.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV64.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV64.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/CDIV64.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/D2_4EB.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/D2_4EB.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/D2_4EB.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/D2_4EB.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/E4_2B.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/E4_2B.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/E4_2B.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/E4_2B.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD4CB.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD4CB.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD4CB.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FD4CB.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FDC.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FDC.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FDC.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/FDC.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV4B.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV4B.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV4B.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/INV4B.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeyPadScanner.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeyPadScanner.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeyPadScanner.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeyPadScanner.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner.mpf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner.mpf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner.mpf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner.mpf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroFiles.tcl b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroFiles.tcl similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroFiles.tcl rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroFiles.tcl diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroSettings.tcl b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroSettings.tcl similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroSettings.tcl rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_MacroSettings.tcl diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_Synth b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_Synth similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_Synth rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/KeypadScanner_Synth diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/NAND4B.VQM b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/NAND4B.VQM similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/NAND4B.VQM rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/NAND4B.VQM diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/Status Report.Txt b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/Status Report.Txt similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/Status Report.Txt rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/Status Report.Txt diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.edn b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.edn similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.edn rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.edn diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.mof b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.mof similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.mof rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner.mof diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscannerSynthesis.mak b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscannerSynthesis.mak similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscannerSynthesis.mak rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscannerSynthesis.mak diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner_constraints.tcl b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner_constraints.tcl similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner_constraints.tcl rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Altera Cyclone/keypadscanner_constraints.tcl diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/AND4S.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/AND4S.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/AND4S.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/AND4S.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CB2CEB.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CB2CEB.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CB2CEB.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CB2CEB.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV4.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV4.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV4.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV4.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV64.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV64.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV64.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/CDIV64.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/D2_4EB.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/D2_4EB.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/D2_4EB.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/D2_4EB.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/E4_2B.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/E4_2B.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/E4_2B.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/E4_2B.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD4CB.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD4CB.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD4CB.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FD4CB.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FDC.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FDC.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FDC.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/FDC.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV4B.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV4B.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV4B.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/INV4B.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeyPadScanner.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeyPadScanner.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeyPadScanner.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeyPadScanner.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner.mpf b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner.mpf similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner.mpf rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner.mpf diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner_Synth b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner_Synth similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner_Synth rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/KeypadScanner_Synth diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/NAND4B.EDN b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/NAND4B.EDN similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/NAND4B.EDN rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/NAND4B.EDN diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/Status Report.Txt b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/Status Report.Txt similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/Status Report.Txt rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/Status Report.Txt diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.edn b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.edn similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.edn rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.edn diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.mof b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.mof similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.mof rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscanner.mof diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscannerSynthesis.mak b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscannerSynthesis.mak similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscannerSynthesis.mak rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/KeypadScanner/Out/Xilinx Spartan2E/keypadscannerSynthesis.mak diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/LCD.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/lcd_cstart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/lcd_cstart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/lcd_cstart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD/lcd_cstart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.DSNWRK b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.DSNWRK similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.DSNWRK rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.DSNWRK diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/LCD_Keypad.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX45/TSK51.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX45/TSK51.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX45/TSK51.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX45/TSK51.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_JTAG_LOOP_CABLE.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_JTAG_LOOP_CABLE.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_JTAG_LOOP_CABLE.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_JTAG_LOOP_CABLE.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RS232_TESTPLUG.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RS232_TESTPLUG.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RS232_TESTPLUG.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RS232_TESTPLUG.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RTC_ADDON.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RTC_ADDON.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RTC_ADDON.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NBT_RTC_ADDON.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCBStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCBStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCBStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Accessories/NanoBoardTesterAccessories.PrjPCBStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_ADDA.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_BarGraph.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_C51.PRJEMB b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_C51.PRJEMB similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_C51.PRJEMB rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_C51.PRJEMB diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_CPU51.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_CPU51.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_CPU51.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_CPU51.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_FREQ_CNT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_FREQ_CNT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_FREQ_CNT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_FREQ_CNT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2C_INT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2C_INT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2C_INT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2C_INT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_I2c.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Kbd.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_LCD.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Main.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Main.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Main.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Main.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2_INT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2_INT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2_INT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_PS2_INT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RS_232.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RS_232.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RS_232.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RS_232.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_RTC.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPEAKER_PWM.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPEAKER_PWM.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPEAKER_PWM.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPEAKER_PWM.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI_MUX.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI_MUX.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI_MUX.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_SPI_MUX.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.C b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.C similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.C rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.C diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_Timer.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_TopLevel.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_TopLevel.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_TopLevel.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_TopLevel.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_UIO_INT.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_UIO_INT.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_UIO_INT.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_UIO_INT.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_VGA.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_VGA.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_VGA.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_VGA.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_XDATA_MUX.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_XDATA_MUX.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_XDATA_MUX.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NBT_XDATA_MUX.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/NanoBoardTester.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/SFR_PORTS.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/SFR_PORTS.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/SFR_PORTS.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/SFR_PORTS.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Strio.H b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Strio.H similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Strio.H rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Strio.H diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/Uart.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/hware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/hware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/hware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/hware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/nbt_c51_cstart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/nbt_c51_cstart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/nbt_c51_cstart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/nbt_c51_cstart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX46/strio.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX46/strio.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX46/strio.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX46/strio.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/AddTrans.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/AddTrans.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/AddTrans.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/AddTrans.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/MAX1617A.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Kbt.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Lcd.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_MemTest.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_MemTest.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_MemTest.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_MemTest.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_SPI.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_Timer.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OMT_xRam.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OnBoardMemoryTester.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OnBoardMemoryTester.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OnBoardMemoryTester.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/OnBoardMemoryTester.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/Uart.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_I2c.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_I2c.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_I2c.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_I2c.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_i2c.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_i2c.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_i2c.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/VIIMT_i2c.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/hware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/hware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/hware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/Firmware/hware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/J3x8_1x19.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/J3x8_1x19.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/J3x8_1x19.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/J3x8_1x19.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Cpu51.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Cpu51.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Cpu51.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Cpu51.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_I2C_Int.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_I2C_Int.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_I2C_Int.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_I2C_Int.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_SFR_Ports.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_SFR_Ports.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_SFR_Ports.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_SFR_Ports.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Speaker_Pwm.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Speaker_Pwm.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Speaker_Pwm.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OMT_Speaker_Pwm.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPG b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPG similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPG rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPG diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPGStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPGStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPGStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.PRJFPGStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX47/OnboardMemoryTester.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/AudioDriver.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/AudioDriver.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/AudioDriver.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/AudioDriver.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/DIV2_8B.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/DIV2_8B.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/DIV2_8B.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/DIV2_8B.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/EvaluationCircuit.SCHDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/EvaluationCircuit.SCHDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/EvaluationCircuit.SCHDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/EvaluationCircuit.SCHDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/Main.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/Main.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/Main.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/Main.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TBarLedWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TBarLedWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TBarLedWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TBarLedWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TControl.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TControl.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TControl.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TControl.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TControlWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TControlWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TControlWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TControlWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TDesktop.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TDesktop.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TDesktop.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TDesktop.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TDigitalClock.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TDigitalClock.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TDigitalClock.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TDigitalClock.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TDisplay.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TDisplay.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TDisplay.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TDisplay.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillChecker.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillChecker.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TFillChecker.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillChecker.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillNoise.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillNoise.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TFillNoise.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillNoise.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TFillWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TFillWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TKeyboard.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TKeyboard.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TKeyboard.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TKeyboard.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TLayerManager.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TLayerManager.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TLayerManager.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TLayerManager.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TLogicWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TLogicWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TLogicWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TLogicWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouse.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouse.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TMouse.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouse.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseCursor.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseCursor.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseCursor.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseCursor.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseEvent.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseEvent.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseEvent.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TMouseEvent.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TNoiseWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TNoiseWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TNoiseWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TNoiseWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TPaletteWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TPaletteWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TPaletteWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TPaletteWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TPriiorityEncoder.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TPriiorityEncoder.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TPriiorityEncoder.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TPriiorityEncoder.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.VHD b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.VHD similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.VHD rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TRange.VHD diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TSynth.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TSynth.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TSynth.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TSynth.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TSystemTimers.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TSystemTimers.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TSystemTimers.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TSystemTimers.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TTraceWindow.schDOC b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TTraceWindow.schDOC similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TTraceWindow.schDOC rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TTraceWindow.schDOC diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/TWindow.schDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TWindow.schDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/TWindow.schDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/TWindow.schDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX48/VideoWindows.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Hware.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Hware.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Hware.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Hware.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Lcd.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Rocket_IO_Test.PrjEmb b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Rocket_IO_Test.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Rocket_IO_Test.PrjEmb rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Rocket_IO_Test.PrjEmb diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/SPI.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.h b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.h similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.h rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/Strio.h diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/rocket_io_test.c b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/rocket_io_test.c similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/rocket_io_test.c rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Firmware/rocket_io_test.c diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Mem_Int_8_16.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Mem_Int_8_16.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Mem_Int_8_16.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Mem_Int_8_16.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_CPU.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_CPU.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_CPU.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_CPU.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.Constraint b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.Constraint similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.Constraint rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.Constraint diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpg b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpg rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpg diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpgStructure b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.SchDoc b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.SchDoc rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/NBT_Virtex_II_Pro_Rocket_IO.SchDoc diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/RIO_Common.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/RIO_Common.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/RIO_Common.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/RIO_Common.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/Receiver.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Receiver.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/Receiver.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/Receiver.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/EX49/TX_Data_Gen.Vhd b/tests/altium_crap/Soft Designs/Legacy/NB1/EX49/TX_Data_Gen.Vhd similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/EX49/TX_Data_Gen.Vhd rename to tests/altium_crap/Soft Designs/Legacy/NB1/EX49/TX_Data_Gen.Vhd diff --git a/altium_crap/Soft Designs/Legacy/NB1/NB1 Testing.DsnWrk b/tests/altium_crap/Soft Designs/Legacy/NB1/NB1 Testing.DsnWrk similarity index 100% rename from altium_crap/Soft Designs/Legacy/NB1/NB1 Testing.DsnWrk rename to tests/altium_crap/Soft Designs/Legacy/NB1/NB1 Testing.DsnWrk diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Altera.tcl b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Altera.tcl similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Altera.tcl rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Altera.tcl diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.Harness b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.Harness similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.Harness rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.Harness diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.OpenBus b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.OpenBus rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/CPU_SYSTEM.OpenBus diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.Harness b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.Harness similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.Harness rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.Harness diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpg b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpg rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpg diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpgStructure b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.SchDoc b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.SchDoc rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB30_Cached_SDRAM_Shared_Bus.SchDoc diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.Harness b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.Harness similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.Harness rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.Harness diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpg b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpg rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpg diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpgStructure b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.SchDoc b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.SchDoc rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/DB31_Cached_SDRAM_Shared_Bus.SchDoc diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/System.SwPlatform b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/System.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/System.SwPlatform rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/System.SwPlatform diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/main.c b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/main.c rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/Embedded/main.c diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/System.Constraint b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/System.Constraint similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/System.Constraint rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/System.Constraint diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Altera/AlteraPll.vhd b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Altera/AlteraPll.vhd similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Altera/AlteraPll.vhd rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Altera/AlteraPll.vhd diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_BoardDeskew.vhd b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_BoardDeskew.vhd similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_BoardDeskew.vhd rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_BoardDeskew.vhd diff --git a/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_MainClock.vhd b/tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_MainClock.vhd similarity index 100% rename from altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_MainClock.vhd rename to tests/altium_crap/Soft Designs/Memory/NB2 Cached Sdram/VendorClocking/Xilinx/DB30_MainClock.vhd diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000AL/SdramClocking.vhd b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000AL/SdramClocking.vhd similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000AL/SdramClocking.vhd rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000AL/SdramClocking.vhd diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/MainClock.vhd b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/MainClock.vhd similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/MainClock.vhd rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/MainClock.vhd diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/SdramBoardDeskew.vhd b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/SdramBoardDeskew.vhd similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/SdramBoardDeskew.vhd rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Clocking/NB3000XN/SdramBoardDeskew.vhd diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/SdramBandwidthTest.PrjEmb diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/System.SwPlatform b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/System.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/System.SwPlatform rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/System.SwPlatform diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/main.c b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/main.c rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/main.c diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.c b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.c similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.c rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.c diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.h b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.h similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.h rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/Embedded/swplatform.h diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000AL_System.Constraint b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000AL_System.Constraint similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000AL_System.Constraint rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000AL_System.Constraint diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000XN_System.Constraint b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000XN_System.Constraint similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000XN_System.Constraint rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000XN_System.Constraint diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.Harness b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.Harness similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.Harness rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.Harness diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpg b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpg rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpg diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpgStructure b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.SchDoc b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.SchDoc rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_AL_Cached_Sdram.SchDoc diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.Harness b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.Harness rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.Harness diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.OpenBus b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.OpenBus rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_Cached_Sdram_System.OpenBus diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.Harness b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.Harness similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.Harness rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.Harness diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpg b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpg rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpg diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpgStructure b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.SchDoc b/tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.SchDoc rename to tests/altium_crap/Soft Designs/Memory/NB3000 Cached Sdram/NB3000_XN_Cached_Sdram.SchDoc diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/GPS_NMEA.SwPlatform b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/GPS_NMEA.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/GPS_NMEA.SwPlatform rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/GPS_NMEA.SwPlatform diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/gps_nmea.PrjEmb b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/gps_nmea.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/gps_nmea.PrjEmb rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/gps_nmea.PrjEmb diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/main.c b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/main.c rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/Embedded/main.c diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Constraint b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Constraint rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Constraint diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Harness b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Harness similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Harness rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.Harness diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpg b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpg rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpg diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpgStructure b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.SchDoc b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.SchDoc rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA.SchDoc diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.Harness b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.Harness rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.Harness diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.OpenBus b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.OpenBus rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/GPS_NMEA_System.OpenBus diff --git a/altium_crap/Soft Designs/Mobile/GPS_NMEA/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/GPS_NMEA/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/GPS_NMEA/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/PPP.SwPlatform b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/PPP.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/PPP.SwPlatform rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/PPP.SwPlatform diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/init.c b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/init.c similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/init.c rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/init.c diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/main.c b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/main.c rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/main.c diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.c b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.c similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.c rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.c diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.h b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.h similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.h rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ping/ping.h diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ppp.PrjEmb b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ppp.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ppp.PrjEmb rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/Embedded/ppp.PrjEmb diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/NB3000XN_04_PB15_04_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/NB3000XN_04_PB15_04_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/NB3000XN_04_PB15_04_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/NB3000XN_04_PB15_04_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Constraint b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Constraint rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Constraint diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Harness b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Harness similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Harness rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP.Harness diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP_System.Harness b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP_System.Harness rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/PPP_System.Harness diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpg b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpg rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpg diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpgStructure b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.SchDoc b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.SchDoc rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp.SchDoc diff --git a/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp_system.OpenBus b/tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp_system.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp_system.OpenBus rename to tests/altium_crap/Soft Designs/Mobile/NB3000 PPP/ppp_system.OpenBus diff --git a/altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.PrjEmb b/tests/altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.PrjEmb rename to tests/altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.PrjEmb diff --git a/altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.SwPlatform b/tests/altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.SwPlatform rename to tests/altium_crap/Soft Designs/Mobile/SMS/Embedded/SMS.SwPlatform diff --git a/altium_crap/Soft Designs/Mobile/SMS/Embedded/main.c b/tests/altium_crap/Soft Designs/Mobile/SMS/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/Embedded/main.c rename to tests/altium_crap/Soft Designs/Mobile/SMS/Embedded/main.c diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS.Constraint b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS.Constraint similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS.Constraint rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS.Constraint diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS.Harness b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS.Harness similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS.Harness rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS.Harness diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpg b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpg rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpg diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpgStructure b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS.SchDoc b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS.SchDoc rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS.SchDoc diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS_System.Harness b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS_System.Harness rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS_System.Harness diff --git a/altium_crap/Soft Designs/Mobile/SMS/SMS_System.OpenBus b/tests/altium_crap/Soft Designs/Mobile/SMS/SMS_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Mobile/SMS/SMS_System.OpenBus rename to tests/altium_crap/Soft Designs/Mobile/SMS/SMS_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Harness b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Harness rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.Harness diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpg b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.SchDoc b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.SchDoc rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.SchDoc diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.tcl b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.tcl rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest.tcl diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.Harness b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.Harness rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.Harness diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/BandwidthTest_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.PrjEmb b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.SwPlatform b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/BandwidthTest.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/alt_bench.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/lwipopts_user.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/lwipopts_user.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/lwipopts_user.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/lwipopts_user.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II e/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Harness b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Harness rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.Harness diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpg b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.SchDoc b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.SchDoc rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.SchDoc diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.tcl b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.tcl rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest.tcl diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.Harness b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.Harness rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.Harness diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/BandwidthTest_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.PrjEmb b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.SwPlatform b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/BandwidthTest.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/alt_bench.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/lwipopts_user.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/lwipopts_user.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/lwipopts_user.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/lwipopts_user.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/Embedded/swplatform.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test Nios II f/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Harness b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Harness rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.Harness diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpg b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.SchDoc b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.SchDoc rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.SchDoc diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.tcl b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.tcl rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest.tcl diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.Harness b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.Harness rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.Harness diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/BandwidthTest_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.PrjEmb b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.SwPlatform b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/BandwidthTest.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/alt_bench.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/lwipopts_user.h b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/lwipopts_user.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/lwipopts_user.h rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/lwipopts_user.h diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Bandwidth Test TSK3000A/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.PrjFpg b/tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.SchDoc b/tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.SchDoc rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns.SchDoc diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/DhcpDns_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.PrjEmb b/tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.SwPlatform b/tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/DhcpDns.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/dns_client.c b/tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/dns_client.c similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/Embedded/dns_client.c rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/dns_client.c diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/leds.h b/tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/leds.h similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/Embedded/leds.h rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/leds.h diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/DhcpDns/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Harness b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Harness rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.Harness diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpg b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.SchDoc b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.SchDoc rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.SchDoc diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.tcl b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.tcl rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping.tcl diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.Harness b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.Harness rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.Harness diff --git a/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/Emac32/Emac32_Ping_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.PrjEmb b/tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.SwPlatform b/tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/Emac32_Ping.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/Emac32/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.c b/tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.c rename to tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.c diff --git a/altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.h b/tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.h rename to tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/pingstack.h diff --git a/altium_crap/Soft Designs/Networking/Emac32/Embedded/util_endian.h b/tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/util_endian.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/Embedded/util_endian.h rename to tests/altium_crap/Soft Designs/Networking/Emac32/Embedded/util_endian.h diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Emac32/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.PrjEmb b/tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.SwPlatform b/tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/Ethernet_Networking.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.c b/tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.c similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.c rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.c diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.h b/tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.h similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.h rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Embedded/pingstack.h diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Harness b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Harness rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.Harness diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpg b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.SchDoc b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.SchDoc rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.SchDoc diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.tcl b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.tcl rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking.tcl diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.Harness b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.Harness rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.Harness diff --git a/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/Ethernet/Ethernet_Networking_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/Ethernet/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.PrjEmb b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.SwPlatform b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/NB3000_Unique_MAC.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Constraint b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Constraint rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Constraint diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Harness b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Harness rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.Harness diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpg b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.SchDoc b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.SchDoc rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC.SchDoc diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.Harness b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.Harness rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.Harness diff --git a/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/NB3000 Unique MAC/NB3000_Unique_MAC_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Netconn.PrjEmb b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Netconn.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Netconn.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Netconn.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Networking.SwPlatform b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Networking.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Networking.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/TCP_IP_Networking.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Harness b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Harness rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.Harness diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpg b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.SchDoc b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.SchDoc rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.SchDoc diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.tcl b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.tcl rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking.tcl diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.Harness b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.Harness rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.Harness diff --git a/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/TCP IP Netconn/TCP_IP_Networking_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Networking.SwPlatform b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Networking.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Networking.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Networking.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Sockets.PrjEmb b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Sockets.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Sockets.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/TCP_IP_Sockets.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Constraint b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Constraint rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Constraint diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Harness b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Harness rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.Harness diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpg b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.SchDoc b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.SchDoc rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.SchDoc diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.tcl b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.tcl similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.tcl rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking.tcl diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.Harness b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.Harness rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.Harness diff --git a/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/TCP IP Sockets/TCP_IP_Networking_System.OpenBus diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.PrjEmb b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.PrjEmb rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.PrjEmb diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.SwPlatform b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.SwPlatform rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/USB_WIFI_PING.SwPlatform diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/main.c b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/main.c rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Embedded/main.c diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Timing.Constraint b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Timing.Constraint similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/Timing.Constraint rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/Timing.Constraint diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.Harness b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.Harness rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.Harness diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpg b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpg rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpg diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpgStructure b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.SchDoc b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.SchDoc rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING.SchDoc diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.Harness b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.Harness rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.Harness diff --git a/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.OpenBus b/tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.OpenBus rename to tests/altium_crap/Soft Designs/Networking/USB_WIFI_PING/USB_WIFI_PING_System.OpenBus diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpg b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpg rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpg diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpgStructure b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.SchDoc b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.SchDoc rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/ARM7_Sharp_LH79520_Memory.SchDoc diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/ARM7_Sharp_LH79520_Memory.PrjEmb b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/ARM7_Sharp_LH79520_Memory.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/ARM7_Sharp_LH79520_Memory.PrjEmb rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/ARM7_Sharp_LH79520_Memory.PrjEmb diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/arm7_util_timing.c b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/arm7_util_timing.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/arm7_util_timing.c rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/arm7_util_timing.c diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/clock_arm7.c b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/clock_arm7.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/clock_arm7.c rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/clock_arm7.c diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/main.c b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/main.c rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/main.c diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.c b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.c rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.c diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.h b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.h rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/proc_bluestreak_arm7_startup.h diff --git a/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/util_timing.h b/tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/util_timing.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/util_timing.h rename to tests/altium_crap/Soft Designs/Processor Examples/ARM7 Sharp LH79520 Memory/Embedded/util_timing.h diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpg b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpg rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpg diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpgStructure b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.SchDoc b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.SchDoc rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.SchDoc diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.ht b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.ht similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.ht rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Actel_CoreMP7_UART.ht diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/Actel_CoreMP7_UART.PrjEmb b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/Actel_CoreMP7_UART.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/Actel_CoreMP7_UART.PrjEmb rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/Actel_CoreMP7_UART.PrjEmb diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_common.h b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_common.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_common.h rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_common.h diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.c b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.c rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.c diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.h b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.h rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_util_timing.h diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.c b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.c rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.c diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.h b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.h rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/llpi_wb_srl0.h diff --git a/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/main.c b/tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/main.c rename to tests/altium_crap/Soft Designs/Processor Examples/Actel_CoreMP7_UART/Embedded/main.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpg b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpg rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpg diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpgStructure b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.SchDoc b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.SchDoc rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Altera_NiosII_VGA.SchDoc diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/Altera_NiosII_VGA.PrjEmb b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/Altera_NiosII_VGA.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/Altera_NiosII_VGA.PrjEmb rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/Altera_NiosII_VGA.PrjEmb diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.c b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.c rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/courier_new_8.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/hardware.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/hardware.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/hardware.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/hardware.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.c b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.c rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/io_wb_vga.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.c b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.c rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/proc_tsknios2.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.c b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.c rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/util_timing.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_defs.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_defs.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_defs.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_defs.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_test.c b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_test.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_test.c rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/vga_test.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.c b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.c similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.c rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.c diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga.h diff --git a/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga_defs.h b/tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga_defs.h similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga_defs.h rename to tests/altium_crap/Soft Designs/Processor Examples/Altera NiosII VGA/Embedded/wb_vga_defs.h diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpg b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpg rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpg diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpgStructure b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.SchDoc b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.SchDoc rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Dual_Processors.SchDoc diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.Asm b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.Asm similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.Asm rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.Asm diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.PrjEmb b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.PrjEmb rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR.PrjEmb diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR_Constants.Asm b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR_Constants.Asm similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR_Constants.Asm rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/PPC405CR_Constants.Asm diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.Asm b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.Asm similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.Asm rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.Asm diff --git a/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.PrjEmb b/tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.PrjEmb rename to tests/altium_crap/Soft Designs/Processor Examples/PowerPC AMCC PPC405CR TSK3000 Dual Processors/Embedded/TSK3000.PrjEmb diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TSK3000_InstructionTest.Asm b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TSK3000_InstructionTest.Asm similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TSK3000_InstructionTest.Asm rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TSK3000_InstructionTest.Asm diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TestInstructions.PrjEmb b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TestInstructions.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TestInstructions.PrjEmb rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/TestInstructions.PrjEmb diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/hardware.asm b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/hardware.asm similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/hardware.asm rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/Embedded/hardware.asm diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.Constraint b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.Constraint similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.Constraint rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.Constraint diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpg b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpg rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpg diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpgStructure b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.SchDoc b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.SchDoc rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/TSK3000_Trace.SchDoc diff --git a/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/V_Trace.Vhd b/tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/V_Trace.Vhd similarity index 100% rename from altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/V_Trace.Vhd rename to tests/altium_crap/Soft Designs/Processor Examples/TSK3000 Trace/V_Trace.Vhd diff --git a/altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.PrjEmb b/tests/altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.PrjEmb rename to tests/altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.PrjEmb diff --git a/altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.SwPlatform b/tests/altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.SwPlatform rename to tests/altium_crap/Soft Designs/Storage/FAT File System/Embedded/FAT_File_System.SwPlatform diff --git a/altium_crap/Soft Designs/Storage/FAT File System/Embedded/main.c b/tests/altium_crap/Soft Designs/Storage/FAT File System/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/Embedded/main.c rename to tests/altium_crap/Soft Designs/Storage/FAT File System/Embedded/main.c diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Harness b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Harness rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.Harness diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpg b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpg rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpg diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpgStructure b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.SchDoc b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.SchDoc rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System.SchDoc diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.Harness b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.Harness rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.Harness diff --git a/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.OpenBus b/tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.OpenBus rename to tests/altium_crap/Soft Designs/Storage/FAT File System/FAT_File_System_OB.OpenBus diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/FAT File System/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.PrjEmb b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.PrjEmb rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.PrjEmb diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.SwPlatform b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.SwPlatform rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/M25Px0_Serial_Flash_Memory.SwPlatform diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/main.c b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/main.c rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/Embedded/main.c diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Harness b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Harness rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.Harness diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpg b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpg rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpg diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpgStructure b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.SchDoc b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.SchDoc rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory.SchDoc diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.Harness b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.Harness rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.Harness diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.OpenBus b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.OpenBus rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/M25Px0_Serial_Flash_Memory_System.OpenBus diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/M25Px0 Serial Flash Memory/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.PrjEmb b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.PrjEmb rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.PrjEmb diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.SwPlatform b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.SwPlatform rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/NB3000_FAT_File_System.SwPlatform diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/main.c b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/main.c rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/Embedded/main.c diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Constraint b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Constraint rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Constraint diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Harness b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Harness rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.Harness diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpg b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpg rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpg diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpgStructure b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.SchDoc b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.SchDoc rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System.SchDoc diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.Harness b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.Harness rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.Harness diff --git a/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.OpenBus b/tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.OpenBus rename to tests/altium_crap/Soft Designs/Storage/NB3000 FAT File System/NB3000_FAT_File_System_OB.OpenBus diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/main.c b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/main.c rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/main.c diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.PrjEmb b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.PrjEmb rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.PrjEmb diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.SwPlatform b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.SwPlatform rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/Embedded/sdhc_memory_card.SwPlatform diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.Constraint b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.Constraint rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.Constraint diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpg b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpg rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpg diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpgStructure b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.SchDoc b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.SchDoc rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card.SchDoc diff --git a/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card_System.OpenBus b/tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card_System.OpenBus rename to tests/altium_crap/Soft Designs/Storage/SDHC Memory Card/sdhc_memory_card_System.OpenBus diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.PrjEmb b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.PrjEmb rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.PrjEmb diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.SwPlatform b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.SwPlatform rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/USB_Mass_Storage.SwPlatform diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/main.c b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/main.c rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/Embedded/main.c diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Constraint b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Constraint similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Constraint rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Constraint diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Harness b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Harness rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.Harness diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpg b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpg rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpg diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpgStructure b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.SchDoc b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.SchDoc rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage.SchDoc diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.Harness b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.Harness rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.Harness diff --git a/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.OpenBus b/tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.OpenBus rename to tests/altium_crap/Soft Designs/Storage/USB Mass Storage/USB_Mass_Storage_System.OpenBus diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Harness b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Harness rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.Harness diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpg b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpg rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpg diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.schdoc b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.schdoc similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.schdoc rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC.schdoc diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.Harness b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.Harness rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.Harness diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.OpenBus b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.OpenBus rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/DS1391_RTC_System.OpenBus diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.PrjEmb b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.PrjEmb rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.PrjEmb diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.SwPlatform b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.SwPlatform rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/DS1391_RTC.SwPlatform diff --git a/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/main.c b/tests/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/main.c rename to tests/altium_crap/Soft Designs/Timing/DS1391 RTC/embedded/main.c diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Harness b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Harness rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.Harness diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpg b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpg rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpg diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpgStructure b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.SchDoc b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.SchDoc rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator.SchDoc diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.Harness b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.Harness rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.Harness diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.OpenBus b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.OpenBus rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/ICS307_Clock_Generator_System.OpenBus diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.PrjEmb b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.PrjEmb rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.PrjEmb diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.SwPlatform b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.SwPlatform rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/ICS307_Clock_Generator.SwPlatform diff --git a/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/main.c b/tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/main.c similarity index 100% rename from altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/main.c rename to tests/altium_crap/Soft Designs/Timing/ICS307 Clock Generator/Software/main.c diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Constraint b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Constraint rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Constraint diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Harness b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Harness rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.Harness diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpg b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpg rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpg diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpgStructure b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.schdoc b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.schdoc similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.schdoc rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC.schdoc diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.Harness b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.Harness rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.Harness diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.OpenBus b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.OpenBus rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/PCF2123_RTC_System.OpenBus diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.PrjEmb b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.PrjEmb rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.PrjEmb diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.SwPlatform b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.SwPlatform rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/PCF2123_RTC.SwPlatform diff --git a/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/main.c b/tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/main.c rename to tests/altium_crap/Soft Designs/Timing/NB3000 PCF2123 RTC/embedded/main.c diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.PrjEmb b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.PrjEmb rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.PrjEmb diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.SwPlatform b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.SwPlatform rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/TMR3_Dual_Timer.SwPlatform diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/main.c b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/main.c rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/Embedded/main.c diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Constraint b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Constraint similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Constraint rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Constraint diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Harness b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Harness rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.Harness diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpg b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpg rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpg diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpgStructure b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.SchDoc b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.SchDoc rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer.SchDoc diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.Harness b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.Harness similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.Harness rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.Harness diff --git a/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.OpenBus b/tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.OpenBus rename to tests/altium_crap/Soft Designs/Timing/TMR3 Dual Timer/TMR3_Dual_Timer_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/GUI.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.c b/tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.c rename to tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.c diff --git a/altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.h b/tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.h similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.h rename to tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/form1.h diff --git a/altium_crap/Soft Designs/User Interface/GUI/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/GUI/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI.Harness b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI.Harness rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI.Harness diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI.SchDoc b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI_System.Harness b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/GUI/GUI_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/GUI/GUI_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/GUI_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/GUI/GUI_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/GUI/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Embedded/Keypad.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/Keypad/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad.Constraint b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad.Harness b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad.Harness rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.Harness diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad.SchDoc b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.Harness b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/Keypad/Keypad_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/Keypad/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Keypad/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Keypad/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Keypad/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/AGUI_Custom_Draw.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.C b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.C similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.C rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.C diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.h b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.h similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.h rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/agui_main.h diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.h b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.h similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.h rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/base_graphics.h diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.agui b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.agui similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.agui rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.agui diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.h b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.h similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.h rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1.h diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1_init.C b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1_init.C similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1_init.C rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/form1_init.C diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/main.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/main.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/main.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.h b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.h similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.h rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/Emb/theme_hue.h diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Constraint b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Constraint rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Constraint diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.SchDoc b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/NB3000 AGUI Custom Draw/NB3000_AGUI_Custom_Draw_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/GUI.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.h b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.h similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.h rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/form1.h diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Constraint b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Constraint rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Constraint diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.SchDoc b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/NB3000 GUI/GUI_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/Pointer.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Constraint b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Constraint rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Constraint diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.SchDoc b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Pointer/Pointer_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/Touchscreen.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Constraint b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Constraint rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Constraint diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.SchDoc b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/NB3000 Touchscreen/Touchscreen_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/USB_Host_Mouse_And_Keyboard.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Constraint b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Constraint rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Constraint diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.SchDoc b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.Harness b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/NB3000 USB Host Mouse And Keyboard/USB_Host_Mouse_And_Keyboard_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/PS2_keyboard_mouse.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.Harness b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_Keyboard_Mouse_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Constraint b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Constraint rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Constraint diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Harness b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Harness rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.Harness diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.SchDoc b/tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/PS2 Keyboard Mouse/PS2_keyboard_mouse.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Embedded/Pointer.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/Pointer/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer.Constraint b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer.Harness b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer.Harness rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.Harness diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer.SchDoc b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.Harness b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/Pointer/Pointer_System.OpenBus diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.PrjEmb b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.PrjEmb similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.PrjEmb rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.PrjEmb diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.SwPlatform b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.SwPlatform similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.SwPlatform rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/Touchscreen.SwPlatform diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/main.c b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/main.c similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/main.c rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Embedded/main.c diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB32_07_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB32_07_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB32_07_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB32_07_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB40_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB40_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB40_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB40_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB41_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB41_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB41_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB41_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB42_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB42_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB42_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB42_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB43_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB43_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB43_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB43_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Constraint b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Constraint similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Constraint rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Constraint diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Harness b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Harness rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.Harness diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpg b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpg similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpg rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpg diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpgStructure b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpgStructure similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpgStructure rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.PrjFpgStructure diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.SchDoc b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.SchDoc similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.SchDoc rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen.SchDoc diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.Harness b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.Harness similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.Harness rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.Harness diff --git a/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.OpenBus b/tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.OpenBus similarity index 100% rename from altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.OpenBus rename to tests/altium_crap/Soft Designs/User Interface/Touchscreen/Touchscreen_System.OpenBus diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/BlinkingLED.SchDoc b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/BlinkingLED.SchDoc similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/BlinkingLED.SchDoc rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/BlinkingLED.SchDoc diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/Clock_board.Constraint b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Clock_board.Constraint similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/Clock_board.Constraint rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Clock_board.Constraint diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/FPGA_Processor_32Bit_LEDs.PrjEmb b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/FPGA_Processor_32Bit_LEDs.PrjEmb similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/FPGA_Processor_32Bit_LEDs.PrjEmb rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/FPGA_Processor_32Bit_LEDs.PrjEmb diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/hardware.h b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/hardware.h similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/hardware.h rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/hardware.h diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/leds1.c b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/leds1.c similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/leds1.c rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/Embedded/leds1.c diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpg b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpg similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpg rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpg diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpgStructure b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpgStructure rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/FPGA_Processor_32Bit.PrjFpgStructure diff --git a/altium_crap/Tutorials/32 bit FPGA Processor Design/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Tutorials/32 bit FPGA Processor Design/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Tutorials/32 bit FPGA Processor Design/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Tutorials/32 bit FPGA Processor Design/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpg b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpg similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpg rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpg diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpgStructure b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpgStructure rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Audio_Effects.PrjFpgStructure diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Effects_OB.OpenBus b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Effects_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Effects_OB.OpenBus rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Effects_OB.OpenBus diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.Harness b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.Harness similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.Harness rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.Harness diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.SchDoc b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.SchDoc similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.SchDoc rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Effects_Sch.SchDoc diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.PrjEmb b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.PrjEmb similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.PrjEmb rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.PrjEmb diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.SwPlatform b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.SwPlatform similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.SwPlatform rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/Audio_Effects_Emb.SwPlatform diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/main.C b/tests/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/main.C similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/Embedded/main.C rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/Embedded/main.C diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/MyConstraint.Constraint b/tests/altium_crap/Tutorials/Audio Effects Tutorial/MyConstraint.Constraint similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/MyConstraint.Constraint rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/MyConstraint.Constraint diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Audio Effects Tutorial/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/CHC Accumulator/Accumulator.C b/tests/altium_crap/Tutorials/CHC Accumulator/Accumulator.C similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/Accumulator.C rename to tests/altium_crap/Tutorials/CHC Accumulator/Accumulator.C diff --git a/altium_crap/Tutorials/CHC Accumulator/AccumulatorUI.Instrument b/tests/altium_crap/Tutorials/CHC Accumulator/AccumulatorUI.Instrument similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/AccumulatorUI.Instrument rename to tests/altium_crap/Tutorials/CHC Accumulator/AccumulatorUI.Instrument diff --git a/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.Constraint b/tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.Constraint similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.Constraint rename to tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.Constraint diff --git a/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpg b/tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpg similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpg rename to tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpg diff --git a/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpgStructure b/tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpgStructure rename to tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.PrjFpgStructure diff --git a/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.SchDoc b/tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.SchDoc similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.SchDoc rename to tests/altium_crap/Tutorials/CHC Accumulator/CHC_Accumulator.SchDoc diff --git a/altium_crap/Tutorials/CHC Accumulator/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Tutorials/CHC Accumulator/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/CHC Accumulator/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/CHC Accumulator/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Creating Components/NPN.mdl b/tests/altium_crap/Tutorials/Creating Components/NPN.mdl similarity index 100% rename from altium_crap/Tutorials/Creating Components/NPN.mdl rename to tests/altium_crap/Tutorials/Creating Components/NPN.mdl diff --git a/altium_crap/Tutorials/Creating Components/NSC_74F08.mdl b/tests/altium_crap/Tutorials/Creating Components/NSC_74F08.mdl similarity index 100% rename from altium_crap/Tutorials/Creating Components/NSC_74F08.mdl rename to tests/altium_crap/Tutorials/Creating Components/NSC_74F08.mdl diff --git a/altium_crap/Tutorials/Creating Components/New Library.LibPkg b/tests/altium_crap/Tutorials/Creating Components/New Library.LibPkg similarity index 100% rename from altium_crap/Tutorials/Creating Components/New Library.LibPkg rename to tests/altium_crap/Tutorials/Creating Components/New Library.LibPkg diff --git a/altium_crap/Tutorials/Creating Components/Out/New Library.IntLib b/tests/altium_crap/Tutorials/Creating Components/Out/New Library.IntLib similarity index 100% rename from altium_crap/Tutorials/Creating Components/Out/New Library.IntLib rename to tests/altium_crap/Tutorials/Creating Components/Out/New Library.IntLib diff --git a/altium_crap/Tutorials/Creating Components/PCB Footprints.PcbLib b/tests/altium_crap/Tutorials/Creating Components/PCB Footprints.PcbLib similarity index 100% rename from altium_crap/Tutorials/Creating Components/PCB Footprints.PcbLib rename to tests/altium_crap/Tutorials/Creating Components/PCB Footprints.PcbLib diff --git a/altium_crap/Tutorials/Creating Components/Schematic Components.SCHLIB b/tests/altium_crap/Tutorials/Creating Components/Schematic Components.SCHLIB similarity index 100% rename from altium_crap/Tutorials/Creating Components/Schematic Components.SCHLIB rename to tests/altium_crap/Tutorials/Creating Components/Schematic Components.SCHLIB diff --git a/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpg b/tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpg similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpg rename to tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpg diff --git a/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpgStructure b/tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpgStructure rename to tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.PrjFpgStructure diff --git a/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.SchDoc b/tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.SchDoc similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.SchDoc rename to tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Design.SchDoc diff --git a/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Example.Instrument b/tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Example.Instrument similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Example.Instrument rename to tests/altium_crap/Tutorials/Custom Instrument Design/Custom_Instrument_Example.Instrument diff --git a/altium_crap/Tutorials/Custom Instrument Design/ExampleInstrumentIcon.bmp b/tests/altium_crap/Tutorials/Custom Instrument Design/ExampleInstrumentIcon.bmp similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/ExampleInstrumentIcon.bmp rename to tests/altium_crap/Tutorials/Custom Instrument Design/ExampleInstrumentIcon.bmp diff --git a/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB30_04_Mapping.Constraint b/tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB30_04_Mapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB30_04_Mapping.Constraint rename to tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB30_04_Mapping.Constraint diff --git a/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB31_04_Mapping.Constraint b/tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB31_04_Mapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB31_04_Mapping.Constraint rename to tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB31_04_Mapping.Constraint diff --git a/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB32_05_Mapping.Constraint b/tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB32_05_Mapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB32_05_Mapping.Constraint rename to tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_07_DB32_05_Mapping.Constraint diff --git a/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB36_01_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB36_01_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB36_01_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB36_01_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB46_02_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB46_02_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB46_02_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Custom Instrument Design/NB2DSK01_08_DB46_02_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.SchDoc b/tests/altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.SchDoc similarity index 100% rename from altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.SchDoc rename to tests/altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.SchDoc diff --git a/altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.vhd b/tests/altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.vhd similarity index 100% rename from altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.vhd rename to tests/altium_crap/Tutorials/Getting Started - FPGA Design/Clock_Divider.vhd diff --git a/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpg b/tests/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpg similarity index 100% rename from altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpg rename to tests/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpg diff --git a/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpgStructure b/tests/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpgStructure rename to tests/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.PrjFpgStructure diff --git a/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.SchDoc b/tests/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.SchDoc similarity index 100% rename from altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.SchDoc rename to tests/altium_crap/Tutorials/Getting Started - FPGA Design/Simple_Counter.SchDoc diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/Embedded/PS2_Echo.PrjEmb b/tests/altium_crap/Tutorials/Getting Started -Software Platform/Embedded/PS2_Echo.PrjEmb similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/Embedded/PS2_Echo.PrjEmb rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/Embedded/PS2_Echo.PrjEmb diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB31_06_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB31_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB31_06_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB31_06_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB32_05_BoardMapping.Constraint b/tests/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB32_05_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB32_05_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/NB2DSK01_08_DB32_05_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo.SchDoc b/tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo.SchDoc similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo.SchDoc rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo.SchDoc diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_OB.OpenBus b/tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_OB.OpenBus rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_OB.OpenBus diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.Constraint b/tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.Constraint similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.Constraint rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.Constraint diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpg b/tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpg similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpg rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpg diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpgStructure b/tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpgStructure rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.PrjFpgStructure diff --git a/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.zip b/tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.zip similarity index 100% rename from altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.zip rename to tests/altium_crap/Tutorials/Getting Started -Software Platform/PS2_Echo_system.zip diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/CB8CEB.EDN b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/CB8CEB.EDN similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/CB8CEB.EDN rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/CB8CEB.EDN diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/COMPM8B.EDN b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/COMPM8B.EDN similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/COMPM8B.EDN rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/COMPM8B.EDN diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/LED_Control.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/PWM8.edn b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/PWM8.edn similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/PWM8.edn rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 1/PWM8.edn diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/SND2LIGHT.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Constraint b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Constraint similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Constraint rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Constraint diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 10/SND2LIGHT_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/IIR.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/SND2LIGHT.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Constraint b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Constraint similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Constraint rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Constraint diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 11/SND2LIGHT_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/Embedded_TFT_TOUCH.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/LEDCTRL.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/TFT_TOUCH.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/TFT_TOUCH.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/TFT_TOUCH.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/TFT_TOUCH.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/palette.bmp b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/palette.bmp similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/palette.bmp rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/Embedded/palette.bmp diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_TOP.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_TOP.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_TOP.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 12/TFT_TOUCH_TOP.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/Embedded_TFT_LEDs.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/LEDCTRL.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/TFT_LEDs.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/TFT_LEDs.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/TFT_LEDs.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/TFT_LEDs.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/palette.bmp b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/palette.bmp similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/palette.bmp rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/palette.bmp diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/rgbcolors.bmp b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/rgbcolors.bmp similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/rgbcolors.bmp rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/Embedded/rgbcolors.bmp diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/LEDCTRL.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 13/TFT_LEDs_TOP.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.PrjScr b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.PrjScr similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.PrjScr rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.PrjScr diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.dfm b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.dfm similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.dfm rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.dfm diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.pas b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.pas similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.pas rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/Controller.pas diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 2/LED_Control_Script.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.PrjScr b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.PrjScr similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.PrjScr rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.PrjScr diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.dfm b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.dfm similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.dfm rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.dfm diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.pas b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.pas similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.pas rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/Controller.pas diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_HSV.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_Script.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_Script.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_Script.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 3/LED_Control_Script.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/NB2DSK01_08_DB30_06_BoardMapping.Constraint b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/NB2DSK01_08_DB30_06_BoardMapping.Constraint similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/NB2DSK01_08_DB30_06_BoardMapping.Constraint rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/NB2DSK01_08_DB30_06_BoardMapping.Constraint diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs-orig.pas b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs-orig.pas similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs-orig.pas rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs-orig.pas diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjScr b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjScr similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjScr rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.PrjScr diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.dfm b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.dfm similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.dfm rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.dfm diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.pas b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.pas similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.pas rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 4/Scrolling_LEDs.pas diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/uP_KnightRider.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/uP_KnightRider.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/uP_KnightRider.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/uP_KnightRider.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/Embedded/up_KnightRider.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpg.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 5/uP_KnightRider_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/instruments.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/instruments.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/instruments.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/instruments.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main (orig).c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main (orig).c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main (orig).c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main (orig).c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/Embedded/uP_KnightRider.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpg.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 6/uP_KnightRider_wControl.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/IrRC_Receiver.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.Constraint b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.Constraint similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.Constraint rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.Constraint diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver_System.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver_System.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver_System.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 7/IrRC_Receiver_System.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded_SWP.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded_SWP.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded_SWP.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Embedded_SWP.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/Main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/instruments.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/instruments.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/instruments.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/instruments.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/Top.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_OB.OpenBus diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/uP_KR_wControl.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/up_KR_Constraint.Constraint b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/up_KR_Constraint.Constraint similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/up_KR_Constraint.Constraint rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 8/up_KR_Constraint.Constraint diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb.cri b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb.cri similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb.cri rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.PrjEmb.cri diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.SwPlatform b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.SwPlatform similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.SwPlatform rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/Snd2Light.SwPlatform diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/generic_devices.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/generic_devices.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/generic_devices.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/generic_devices.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/led_info.h b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/led_info.h similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/led_info.h rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/led_info.h diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/main.c b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/main.c similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/main.c rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Embedded/main.c diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Constraint b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Constraint similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Constraint rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Constraint diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpg b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpg similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpg rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpg diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpgStructure b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpgStructure similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpgStructure rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.PrjFpgStructure diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.SchDoc b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.SchDoc similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.SchDoc rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light.SchDoc diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.Harness b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.Harness similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.Harness rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.Harness diff --git a/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.OpenBus b/tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.OpenBus similarity index 100% rename from altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.OpenBus rename to tests/altium_crap/Tutorials/NB3000 Discovery Series/Discovery Session 9/Snd2Light_OB.OpenBus diff --git a/altium_crap/Tutorials/multivibrator_step/board.STEP b/tests/altium_crap/Tutorials/multivibrator_step/board.STEP similarity index 100% rename from altium_crap/Tutorials/multivibrator_step/board.STEP rename to tests/altium_crap/Tutorials/multivibrator_step/board.STEP diff --git a/altium_crap/Tutorials/multivibrator_step/multivibrator_base.STEP b/tests/altium_crap/Tutorials/multivibrator_step/multivibrator_base.STEP similarity index 100% rename from altium_crap/Tutorials/multivibrator_step/multivibrator_base.STEP rename to tests/altium_crap/Tutorials/multivibrator_step/multivibrator_base.STEP diff --git a/altium_crap/Tutorials/multivibrator_step/multivibrator_cover.STEP b/tests/altium_crap/Tutorials/multivibrator_step/multivibrator_cover.STEP similarity index 100% rename from altium_crap/Tutorials/multivibrator_step/multivibrator_cover.STEP rename to tests/altium_crap/Tutorials/multivibrator_step/multivibrator_cover.STEP diff --git a/altium_crap/Tutorials/multivibrator_step/multivibrator_step.PcbDoc b/tests/altium_crap/Tutorials/multivibrator_step/multivibrator_step.PcbDoc similarity index 100% rename from altium_crap/Tutorials/multivibrator_step/multivibrator_step.PcbDoc rename to tests/altium_crap/Tutorials/multivibrator_step/multivibrator_step.PcbDoc diff --git a/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpg b/tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpg rename to tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpg diff --git a/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.SchDoc b/tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.SchDoc rename to tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/16Bit Group Ripple Adder.SchDoc diff --git a/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/TestBench.vhdtst b/tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/TestBench.vhdtst similarity index 100% rename from altium_crap/VHDL Simulation/16Bit Group Ripple Adder/TestBench.vhdtst rename to tests/altium_crap/VHDL Simulation/16Bit Group Ripple Adder/TestBench.vhdtst diff --git a/altium_crap/VHDL Simulation/BCD Counter/BCD.VHD b/tests/altium_crap/VHDL Simulation/BCD Counter/BCD.VHD similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/BCD.VHD rename to tests/altium_crap/VHDL Simulation/BCD Counter/BCD.VHD diff --git a/altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpg b/tests/altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpg rename to tests/altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpg diff --git a/altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/BCD Counter/BCD8.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/BCD Counter/BCD8.schdoc b/tests/altium_crap/VHDL Simulation/BCD Counter/BCD8.schdoc similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/BCD8.schdoc rename to tests/altium_crap/VHDL Simulation/BCD Counter/BCD8.schdoc diff --git a/altium_crap/VHDL Simulation/BCD Counter/SCH Library/BCD.SCHLIB b/tests/altium_crap/VHDL Simulation/BCD Counter/SCH Library/BCD.SCHLIB similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/SCH Library/BCD.SCHLIB rename to tests/altium_crap/VHDL Simulation/BCD Counter/SCH Library/BCD.SCHLIB diff --git a/altium_crap/VHDL Simulation/BCD Counter/TestBCD.VHDTST b/tests/altium_crap/VHDL Simulation/BCD Counter/TestBCD.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/TestBCD.VHDTST rename to tests/altium_crap/VHDL Simulation/BCD Counter/TestBCD.VHDTST diff --git a/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BCD_LIB.VHDLIB b/tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BCD_LIB.VHDLIB similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BCD_LIB.VHDLIB rename to tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BCD_LIB.VHDLIB diff --git a/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BUFGS.VHD b/tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BUFGS.VHD similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BUFGS.VHD rename to tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/BUFGS.VHD diff --git a/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/PARITY.VHD b/tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/PARITY.VHD similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/VHDL Library/PARITY.VHD rename to tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/PARITY.VHD diff --git a/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/UTILITY.VHD b/tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/UTILITY.VHD similarity index 100% rename from altium_crap/VHDL Simulation/BCD Counter/VHDL Library/UTILITY.VHD rename to tests/altium_crap/VHDL Simulation/BCD Counter/VHDL Library/UTILITY.VHD diff --git a/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpg b/tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpg rename to tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpg diff --git a/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.SchDoc b/tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.SchDoc rename to tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/Error Correcting For 7bit Hamming Code.SchDoc diff --git a/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/TestBench.vhdtst b/tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/TestBench.vhdtst similarity index 100% rename from altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/TestBench.vhdtst rename to tests/altium_crap/VHDL Simulation/Error Correcting For 7bit Hamming Code/TestBench.vhdtst diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Control.SchDoc b/tests/altium_crap/VHDL Simulation/Frequency Meter/Control.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Control.SchDoc rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Control.SchDoc diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Four Bit Decimal Counter.SchDoc b/tests/altium_crap/VHDL Simulation/Frequency Meter/Four Bit Decimal Counter.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Four Bit Decimal Counter.SchDoc rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Four Bit Decimal Counter.SchDoc diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Four Decade BCD Counter.SchDoc b/tests/altium_crap/VHDL Simulation/Frequency Meter/Four Decade BCD Counter.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Four Decade BCD Counter.SchDoc rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Four Decade BCD Counter.SchDoc diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter TB.VHDTST b/tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter TB.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter TB.VHDTST rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter TB.VHDTST diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpg b/tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpg rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpg diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.SchDoc b/tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.SchDoc rename to tests/altium_crap/VHDL Simulation/Frequency Meter/Frequency Meter.SchDoc diff --git a/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpg b/tests/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpg rename to tests/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpg diff --git a/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.SchDoc b/tests/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.SchDoc rename to tests/altium_crap/VHDL Simulation/KeyBoard Reader/KeyBrdReader.SchDoc diff --git a/altium_crap/VHDL Simulation/KeyBoard Reader/Test_KeyBrdReader.VHDTST b/tests/altium_crap/VHDL Simulation/KeyBoard Reader/Test_KeyBrdReader.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/KeyBoard Reader/Test_KeyBrdReader.VHDTST rename to tests/altium_crap/VHDL Simulation/KeyBoard Reader/Test_KeyBrdReader.VHDTST diff --git a/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpg b/tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpg rename to tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpg diff --git a/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.SchDoc b/tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.SchDoc rename to tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Parallel To Serial Converter.SchDoc diff --git a/altium_crap/VHDL Simulation/Parallel To Serial Converter/Testbench.VHDTST b/tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Testbench.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Parallel To Serial Converter/Testbench.VHDTST rename to tests/altium_crap/VHDL Simulation/Parallel To Serial Converter/Testbench.VHDTST diff --git a/altium_crap/VHDL Simulation/Pulse Width Modulation/Pwm.schdoc b/tests/altium_crap/VHDL Simulation/Pulse Width Modulation/Pwm.schdoc similarity index 100% rename from altium_crap/VHDL Simulation/Pulse Width Modulation/Pwm.schdoc rename to tests/altium_crap/VHDL Simulation/Pulse Width Modulation/Pwm.schdoc diff --git a/altium_crap/VHDL Simulation/Pulse Width Modulation/Test_Pwm.VHDTST b/tests/altium_crap/VHDL Simulation/Pulse Width Modulation/Test_Pwm.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Pulse Width Modulation/Test_Pwm.VHDTST rename to tests/altium_crap/VHDL Simulation/Pulse Width Modulation/Test_Pwm.VHDTST diff --git a/altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpg b/tests/altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpg rename to tests/altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpg diff --git a/altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Pulse Width Modulation/pwm.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpg b/tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpg rename to tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpg diff --git a/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.SchDoc b/tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.SchDoc similarity index 100% rename from altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.SchDoc rename to tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Serial To Parallel Converter.SchDoc diff --git a/altium_crap/VHDL Simulation/Serial To Parallel Converter/Testbench.VHDTST b/tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Testbench.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Serial To Parallel Converter/Testbench.VHDTST rename to tests/altium_crap/VHDL Simulation/Serial To Parallel Converter/Testbench.VHDTST diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPG b/tests/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPG similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPG rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPG diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPGStructure b/tests/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPGStructure similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPGStructure rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.PRJFPGStructure diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.schDOC b/tests/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.schDOC similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.schDOC rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/TBarLedWindow.schDOC diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/TMouseEvent.schDoc b/tests/altium_crap/VHDL Simulation/Test BarLed Window/TMouseEvent.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/TMouseEvent.schDoc rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/TMouseEvent.schDoc diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/TRange.VHD b/tests/altium_crap/VHDL Simulation/Test BarLed Window/TRange.VHD similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/TRange.VHD rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/TRange.VHD diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/TWindow.schDoc b/tests/altium_crap/VHDL Simulation/Test BarLed Window/TWindow.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/TWindow.schDoc rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/TWindow.schDoc diff --git a/altium_crap/VHDL Simulation/Test BarLed Window/Test_TBarLedWindow.VHDTST b/tests/altium_crap/VHDL Simulation/Test BarLed Window/Test_TBarLedWindow.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Test BarLed Window/Test_TBarLedWindow.VHDTST rename to tests/altium_crap/VHDL Simulation/Test BarLed Window/Test_TBarLedWindow.VHDTST diff --git a/altium_crap/VHDL Simulation/Test Control Window/TControl.schDOC b/tests/altium_crap/VHDL Simulation/Test Control Window/TControl.schDOC similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TControl.schDOC rename to tests/altium_crap/VHDL Simulation/Test Control Window/TControl.schDOC diff --git a/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpg b/tests/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpg rename to tests/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpg diff --git a/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.schDOC b/tests/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.schDOC similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TControlWindow.schDOC rename to tests/altium_crap/VHDL Simulation/Test Control Window/TControlWindow.schDOC diff --git a/altium_crap/VHDL Simulation/Test Control Window/TMouseEvent.schDoc b/tests/altium_crap/VHDL Simulation/Test Control Window/TMouseEvent.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TMouseEvent.schDoc rename to tests/altium_crap/VHDL Simulation/Test Control Window/TMouseEvent.schDoc diff --git a/altium_crap/VHDL Simulation/Test Control Window/TRange.VHD b/tests/altium_crap/VHDL Simulation/Test Control Window/TRange.VHD similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TRange.VHD rename to tests/altium_crap/VHDL Simulation/Test Control Window/TRange.VHD diff --git a/altium_crap/VHDL Simulation/Test Control Window/TWindow.schDoc b/tests/altium_crap/VHDL Simulation/Test Control Window/TWindow.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/TWindow.schDoc rename to tests/altium_crap/VHDL Simulation/Test Control Window/TWindow.schDoc diff --git a/altium_crap/VHDL Simulation/Test Control Window/Test_TControlWindow.VHDTST b/tests/altium_crap/VHDL Simulation/Test Control Window/Test_TControlWindow.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Test Control Window/Test_TControlWindow.VHDTST rename to tests/altium_crap/VHDL Simulation/Test Control Window/Test_TControlWindow.VHDTST diff --git a/altium_crap/VHDL Simulation/Test Keyboard/TKeyboard.schDoc b/tests/altium_crap/VHDL Simulation/Test Keyboard/TKeyboard.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test Keyboard/TKeyboard.schDoc rename to tests/altium_crap/VHDL Simulation/Test Keyboard/TKeyboard.schDoc diff --git a/altium_crap/VHDL Simulation/Test Keyboard/Test_TKeyboard.VHDTST b/tests/altium_crap/VHDL Simulation/Test Keyboard/Test_TKeyboard.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Test Keyboard/Test_TKeyboard.VHDTST rename to tests/altium_crap/VHDL Simulation/Test Keyboard/Test_TKeyboard.VHDTST diff --git a/altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPG b/tests/altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPG similarity index 100% rename from altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPG rename to tests/altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPG diff --git a/altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPGStructure b/tests/altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPGStructure similarity index 100% rename from altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPGStructure rename to tests/altium_crap/VHDL Simulation/Test Keyboard/Tkeyboard.PRJFPGStructure diff --git a/altium_crap/VHDL Simulation/Test Palette Window/TMouseEvent.schDoc b/tests/altium_crap/VHDL Simulation/Test Palette Window/TMouseEvent.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/TMouseEvent.schDoc rename to tests/altium_crap/VHDL Simulation/Test Palette Window/TMouseEvent.schDoc diff --git a/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpg b/tests/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpg similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpg rename to tests/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpg diff --git a/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpgStructure b/tests/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpgStructure similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpgStructure rename to tests/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.PrjFpgStructure diff --git a/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.schDOC b/tests/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.schDOC similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.schDOC rename to tests/altium_crap/VHDL Simulation/Test Palette Window/TPaletteWindow.schDOC diff --git a/altium_crap/VHDL Simulation/Test Palette Window/TRange.VHD b/tests/altium_crap/VHDL Simulation/Test Palette Window/TRange.VHD similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/TRange.VHD rename to tests/altium_crap/VHDL Simulation/Test Palette Window/TRange.VHD diff --git a/altium_crap/VHDL Simulation/Test Palette Window/TWindow.schDoc b/tests/altium_crap/VHDL Simulation/Test Palette Window/TWindow.schDoc similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/TWindow.schDoc rename to tests/altium_crap/VHDL Simulation/Test Palette Window/TWindow.schDoc diff --git a/altium_crap/VHDL Simulation/Test Palette Window/Test_TPaletteWindow.VHDTST b/tests/altium_crap/VHDL Simulation/Test Palette Window/Test_TPaletteWindow.VHDTST similarity index 100% rename from altium_crap/VHDL Simulation/Test Palette Window/Test_TPaletteWindow.VHDTST rename to tests/altium_crap/VHDL Simulation/Test Palette Window/Test_TPaletteWindow.VHDTST From 5c066921e9337651446be258782694457f185628 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 01:01:01 -0800 Subject: [PATCH 09/11] Clean up install process --- .gitignore | 1 + README.md | 4 ++-- setup.py | 9 +++++++-- 3 files changed, 10 insertions(+), 4 deletions(-) diff --git a/.gitignore b/.gitignore index b6ace70..76502f7 100644 --- a/.gitignore +++ b/.gitignore @@ -49,3 +49,4 @@ Temporary Items /.ipynb_checkpoints/ /.idea/ *.json +/Altium_Schematic_Parser.egg-info/ diff --git a/README.md b/README.md index 7a210c2..d200c7e 100644 --- a/README.md +++ b/README.md @@ -5,7 +5,7 @@ Converts Altium .SchDoc files into json * olefile ## Install git clone git@github.com:a3ng7n/Altium-Schematic-Parser.git - cd Altium-Schematic-Parser/ - pip install -r requirements.txt + cd Altium-Schematic-Parser + pip install -e . ## Usage python parse.py -i "path/to/altiumschematic.schdoc" -o "path/to/jsonfile.json" \ No newline at end of file diff --git a/setup.py b/setup.py index 478867a..e802058 100644 --- a/setup.py +++ b/setup.py @@ -1,17 +1,22 @@ +import os from setuptools import setup, find_packages with open("README.md", "r") as fh: long_description = fh.read() +def read(fname): + return open(os.path.join(os.path.dirname(__file__), fname)).read() + setup( name='Altium-Schematic-Parser', version='0.0.0', - packages=find_packages(), + packages=find_packages(exclude=['tests']), url='https://github.com/a3ng7n/Altium-Schematic-Parser', license='see LICENSE', author='Aaron Goldstein', author_email='aaronmgoldstein@gmail.com', description='Converts Altium .SchDoc files into json', long_description=long_description, - long_description_content_type="text/markdown" + long_description_content_type="text/markdown", + install_requires=read('requirements.txt').splitlines() ) From 9881e4de06522a6ac0edd143f7c3652960a6af96 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 01:01:51 -0800 Subject: [PATCH 10/11] Fix whitespace --- parse.py | 1 - 1 file changed, 1 deletion(-) diff --git a/parse.py b/parse.py index 6ab9883..6da61a7 100644 --- a/parse.py +++ b/parse.py @@ -60,7 +60,6 @@ def parse(input, json_format, **kwargs): return schematic - if __name__ == "__main__": parser = argparse.ArgumentParser(description='Converts Altium .SchDoc files into json.') parser.add_argument('--input', '-i', dest='input', From e1202f040b7839a370b19e08c0dda11393f9dd23 Mon Sep 17 00:00:00 2001 From: Aaron Goldstein Date: Wed, 4 Mar 2020 01:02:23 -0800 Subject: [PATCH 11/11] Removing now-redundant ipynb file --- Altium Schematic Parser.ipynb | 37829 -------------------------------- 1 file changed, 37829 deletions(-) delete mode 100644 Altium Schematic Parser.ipynb diff --git a/Altium Schematic Parser.ipynb b/Altium Schematic Parser.ipynb deleted file mode 100644 index 723139c..0000000 --- a/Altium Schematic Parser.ipynb +++ /dev/null @@ -1,37829 +0,0 @@ -{ - "cells": [ - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "import json\n", - "\n", - "class ParametricFile:\n", - " records = []\n", - " public String signature;\n", - " \n", - " def getJSON():\n", - " return new JSONObject(records.get(0));\n", - " \n", - " \n", - " def load(inputStream):\n", - " records = []\n", - " while (inputStream.available() >= 4) {\n", - " record = readRecord(inputStream);\n", - " if (record != b''):\n", - " records.append(record)\n", - " \n", - " signature = records.pop(0)[\"HEADER\"]\n", - " buildObjectHierarchy()\n", - " return len(records) > 0\n", - "\n", - " def buildObjectHierarchy():\n", - " for i, current in enumerate(records):\n", - " s = current[\"OWNERINDEX\"]\n", - " if (s == null || len(s) == 0):\n", - " s = \"0\"\n", - " ownerIndex = int(s);\n", - "\n", - " records.remove(i);\n", - "\n", - " Map owner = records.get(ownerIndex);\n", - " List children = (List) owner.get(\"children\");\n", - " if (children == null):\n", - " children = new ArrayList();\n", - " owner.put(\"children\", children);\n", - "\n", - " children.add(current);\n", - "\n", - " def readRecord(DocumentInputStream inputStream):\n", - " line = readLine(inputStream);\n", - "\n", - " if (line == null) return null;\n", - "\n", - " result = []\n", - "\n", - " String pairs[] = line.split(\"\\\\|\");\n", - " for (String pair : pairs) {\n", - " if (pair.trim().isEmpty()) continue;\n", - " \n", - " String[] data = pair.split(\"=\");\n", - " if (data.length == 2) {\n", - " result.put(data[0], data[1]);\n", - " \n", - " return result\n", - "\n", - " def readLine(inputStream):\n", - " int length = inputStream.readInt()\n", - " if (length == -1):\n", - " return null\n", - "\n", - " byte[] buffer = new byte[length]\n", - " inputStream.read(buffer, 0, length)\n", - " if (buffer[0] == 0):\n", - " return null\n", - "\n", - " return new String(buffer).split(\"\\u0000\")[0]\n", - " BLOCKSIZE = 4096\n", - " result = []\n", - " current = ''\n", - " for block in iter(lambda: fp.read(BLOCKSIZE), ''):\n", - " current += block\n", - " while 1:\n", - " markerpos = current.find(marker)\n", - " if markerpos == -1:\n", - " break\n", - " result.append(current[:markerpos])\n", - " current = current[markerpos + len(marker):]\n", - " result.append(current)\n", - " return result\n", - "\n", - " " - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "import olefile\n", - "\n", - "def ParseToJSON(schematicPath):\n", - " if (args.length==0 || args.length>2) {\n", - " System.out.println(\"Please specify valid file\");\n", - " return;\n", - " }\n", - "\n", - " List argsList = Arrays.asList(args);\n", - " if (!argsList.contains(\"-c\")) {\n", - " unpackFile(new File(args[0]));\n", - " } else {\n", - " ParametricFile parametricFile = new ParametricFile();\n", - " POIFSFileSystem fs = new POIFSFileSystem(new FileInputStream(new File(args[args.length - 1])));\n", - " if (parametricFile.load(new DocumentInputStream((DocumentEntry) fs.getRoot().getEntry(\"FileHeader\")))) {\n", - " System.out.println(\"Successful!\");\n", - " System.out.println(parametricFile.getJSON().toString(2));\n", - " }\n", - " }\n", - " }\n", - " \n", - " private static void unpackFile(File file) throws IOException {\n", - " POIFSFileSystem fs = new POIFSFileSystem(new FileInputStream(file));\n", - " exportDirEntry(fs.getRoot(), new File(file.getParentFile(), file.getName() + \".export\"));\n", - " }\n", - "\n", - " private static void exportDirEntry(DirectoryEntry dirEntry, File dir) throws IOException {\n", - " if (!dir.mkdirs()) throw new IOException(\"Can't create dir\");\n", - "\n", - " for (Entry entry : dirEntry) {\n", - " if (entry.isDirectoryEntry()) {\n", - " exportDirEntry((DirectoryEntry) entry, new File(dir, entry.getName()));\n", - " } else if (entry.isDocumentEntry()) {\n", - " exportFileEntry(entry, dir);\n", - " }\n", - " }\n", - " }\n", - "\n", - " private static void exportFileEntry(Entry entry, File dir) throws IOException {\n", - " OutputStream output = new FileOutputStream(new File(dir, entry.getName()));\n", - " InputStream input = new DocumentInputStream((DocumentEntry) entry);\n", - "\n", - " byte[] buffer = new byte[4096]; // Adjust if you want\n", - " int bytesRead;\n", - " while ((bytesRead = input.read(buffer)) != -1)\n", - " output.write(buffer, 0, bytesRead);\n", - " }" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false - }, - "outputs": [], - "source": [ - "a = [(\"blah\", 22), (\"sdlkfj\", 25)]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[('blah', 22), ('sdlkfj', 25)]\n" - ] - } - ], - "source": [ - "print(a)" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "blah = []" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "blah.append(\"flfjkgd\")" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "['flfjkgd']\n" - ] - } - ], - "source": [ - "print(blah)" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "blah.count" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "data": { - "text/plain": [ - "1" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "len(blah)" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "blah = [\"dfldkf\"]" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "ename": "AttributeError", - "evalue": "'list' object has no attribute 'isEmpty'", - "output_type": "error", - "traceback": [ - "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", - "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mblah\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0misEmpty\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", - "\u001b[1;31mAttributeError\u001b[0m: 'list' object has no attribute 'isEmpty'" - ] - } - ], - "source": [ - "blah.isEmpty()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "import zipfile,os.path\n", - "def unzip(source_filename, dest_dir):\n", - " print(source_filename)\n", - " with zipfile.ZipFile(source_filename, \"r\") as zf:\n", - " for member in zf.infolist():\n", - " # Path traversal defense copied from\n", - " # http://hg.python.org/cpython/file/tip/Lib/http/server.py#l789\n", - " words = member.filename.split('/')\n", - " path = dest_dir\n", - " for word in words[:-1]:\n", - " while True:\n", - " drive, word = os.path.splitdrive(word)\n", - " head, word = os.path.split(word)\n", - " if not drive:\n", - " break\n", - " if word in (os.curdir, os.pardir, ''):\n", - " continue\n", - " path = os.path.join(path, word)\n", - " zf.extract(member, path)" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "C:\\Users\\Meuep\\Documents\\GitHub\\Altium Schematic Parser\\altium_crap\\Snippets\\Schematic\\Simple Regulator Circuit.SchDoc\n", - "b'\\xcf'\n", - "b'\\x11'\n", - "b'\\xe0'\n", - "b'\\xa1'\n", - "b'\\xb1'\n", - "b'\\x1a'\n", - "b'\\xe1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'>'\n", - "b'\\x00'\n", - "b'\\x03'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\t'\n", - "b'\\x00'\n", - "b'\\x06'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x10'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x02'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfd'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x04'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x05'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x06'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x08'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x14'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0c'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\r'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x10'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x11'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x12'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x13'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x03'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x15'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x16'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x17'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x18'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1c'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x1f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b' '\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'!'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\"'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'#'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'$'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'%'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'&'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b\"'\"\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'('\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b')'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'*'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'+'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b','\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'-'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'.'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'/'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b':'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b';'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'<'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'='\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'>'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'?'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'@'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'A'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'B'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'D'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'F'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'R'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b't'\n", - "b'\\x00'\n", - "b' '\n", - "b'\\x00'\n", - "b'E'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b't'\n", - "b'\\x00'\n", - "b'r'\n", - "b'\\x00'\n", - "b'y'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x16'\n", - "b'\\x00'\n", - "b'\\x05'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b' '\n", - "b'\\xdb'\n", - "b'\\x90'\n", - "b'\\x9a'\n", - "b'\\xe1'\n", - "b'e'\n", - "b'\\xc7'\n", - "b'\\x01'\n", - "b'G'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'@'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'F'\n", - "b'\\x00'\n", - "b'i'\n", - "b'\\x00'\n", - "b'l'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'H'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'a'\n", - "b'\\x00'\n", - "b'd'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'r'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x16'\n", - "b'\\x00'\n", - "b'\\x02'\n", - "b'\\x01'\n", - "b'\\x02'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x0b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'S'\n", - "b'\\x00'\n", - "b't'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'r'\n", - "b'\\x00'\n", - "b'a'\n", - "b'\\x00'\n", - "b'g'\n", - "b'\\x00'\n", - "b'e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x10'\n", - "b'\\x00'\n", - "b'\\x02'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\xfe'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'\\xff'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'\\x00'\n", - "b'I'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'F'\n", - "b'O'\n", - "b'A'\n", - "b'Y'\n", - "b'J'\n", - "b'R'\n", - "b'S'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'J'\n", - "b'E'\n", - "b'V'\n", - "b'L'\n", - "b'A'\n", - "b'D'\n", - "b'K'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'L'\n", - "b'Y'\n", - "b'C'\n", - "b'M'\n", - "b'U'\n", - "b'W'\n", - "b'U'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xf1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'4'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'4'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'4'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'5'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'5'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'9'\n", - "b'|'\n", - "b'X'\n", - "b'6'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'6'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'7'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'7'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'8'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'8'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'9'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'9'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'6'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9c'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'Q'\n", - "b'N'\n", - "b'C'\n", - "b'H'\n", - "b'M'\n", - "b'M'\n", - "b'S'\n", - "b'B'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'R'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x95'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'R'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'K'\n", - "b'H'\n", - "b'B'\n", - "b'P'\n", - "b'W'\n", - "b'R'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'H'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'E'\n", - "b'R'\n", - "b'='\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b't'\n", - "b'e'\n", - "b'l'\n", - "b' '\n", - "b'f'\n", - "b'o'\n", - "b'r'\n", - "b' '\n", - "b'W'\n", - "b'i'\n", - "b'n'\n", - "b'd'\n", - "b'o'\n", - "b'w'\n", - "b's'\n", - "b' '\n", - "b'-'\n", - "b' '\n", - "b'S'\n", - "b'c'\n", - "b'h'\n", - "b'e'\n", - "b'm'\n", - "b'a'\n", - "b't'\n", - "b'i'\n", - "b'c'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b't'\n", - "b'u'\n", - "b'r'\n", - "b'e'\n", - "b' '\n", - "b'B'\n", - "b'i'\n", - "b'n'\n", - "b'a'\n", - "b'r'\n", - "b'y'\n", - "b' '\n", - "b'F'\n", - "b'i'\n", - "b'l'\n", - "b'e'\n", - "b' '\n", - "b'V'\n", - "b'e'\n", - "b'r'\n", - "b's'\n", - "b'i'\n", - "b'o'\n", - "b'n'\n", - "b' '\n", - "b'5'\n", - "b'.'\n", - "b'0'\n", - "b'|'\n", - "b'W'\n", - "b'E'\n", - "b'I'\n", - "b'G'\n", - "b'H'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x9c'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'1'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b's'\n", - "b' '\n", - "b'N'\n", - "b'e'\n", - "b'w'\n", - "b' '\n", - "b'R'\n", - "b'o'\n", - "b'm'\n", - "b'a'\n", - "b'n'\n", - "b'|'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'R'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'2'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b's'\n", - "b' '\n", - "b'N'\n", - "b'e'\n", - "b'w'\n", - "b' '\n", - "b'R'\n", - "b'o'\n", - "b'm'\n", - "b'a'\n", - "b'n'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'B'\n", - "b'C'\n", - "b'S'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'B'\n", - "b'O'\n", - "b'C'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'H'\n", - "b'O'\n", - "b'T'\n", - "b'S'\n", - "b'P'\n", - "b'O'\n", - "b'T'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'H'\n", - "b'O'\n", - "b'T'\n", - "b'S'\n", - "b'P'\n", - "b'O'\n", - "b'T'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'H'\n", - "b'O'\n", - "b'T'\n", - "b'S'\n", - "b'P'\n", - "b'O'\n", - "b'T'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'B'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'E'\n", - "b'R'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'L'\n", - "b'E'\n", - "b'B'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'U'\n", - "b'M'\n", - "b'B'\n", - "b'E'\n", - "b'R'\n", - "b'S'\n", - "b'P'\n", - "b'A'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'3'\n", - "b'1'\n", - "b'7'\n", - "b'6'\n", - "b'9'\n", - "b'5'\n", - "b'|'\n", - "b'S'\n", - "b'N'\n", - "b'A'\n", - "b'P'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'S'\n", - "b'N'\n", - "b'A'\n", - "b'P'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'V'\n", - "b'I'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'V'\n", - "b'I'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'I'\n", - "b'D'\n", - "b'S'\n", - "b'I'\n", - "b'Z'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'Y'\n", - "b'='\n", - "b'9'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'X'\n", - "b'Z'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'S'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'Y'\n", - "b'Z'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'S'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'S'\n", - "b'T'\n", - "b'O'\n", - "b'M'\n", - "b'M'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'I'\n", - "b'N'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'_'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'='\n", - "b'4'\n", - "b'\\x00'\n", - "b'I'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'V'\n", - "b'K'\n", - "b'Y'\n", - "b'V'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x91'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'E'\n", - "b'K'\n", - "b'P'\n", - "b'B'\n", - "b'C'\n", - "b'Y'\n", - "b'B'\n", - "b'O'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x91'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'G'\n", - "b'L'\n", - "b'Y'\n", - "b'O'\n", - "b'S'\n", - "b'X'\n", - "b'B'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xf0'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'4'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'4'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'5'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'5'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'9'\n", - "b'|'\n", - "b'X'\n", - "b'6'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'6'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'7'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'7'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'8'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'8'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'9'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'9'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'T'\n", - "b'D'\n", - "b'G'\n", - "b'V'\n", - "b'U'\n", - "b'X'\n", - "b'U'\n", - "b'\\x00'\n", - "b'\\x84'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'R'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x94'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'R'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'K'\n", - "b'H'\n", - "b'B'\n", - "b'P'\n", - "b'W'\n", - "b'R'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'\\x18'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'W'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'Y'\n", - "b'B'\n", - "b'I'\n", - "b'L'\n", - "b'L'\n", - "b'S'\n", - "b'P'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'E'\n", - "b'S'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'A'\n", - "b'P'\n", - "b'B'\n", - "b'N'\n", - "b'H'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'='\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'P'\n", - "b'V'\n", - "b'Y'\n", - "b'U'\n", - "b'I'\n", - "b'C'\n", - "b'H'\n", - "b'Y'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xf1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'6'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'4'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'4'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'5'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'5'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'6'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'6'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'9'\n", - "b'|'\n", - "b'X'\n", - "b'7'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'7'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'8'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'8'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'5'\n", - "b'|'\n", - "b'X'\n", - "b'9'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'9'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'4'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'0'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'Y'\n", - "b'V'\n", - "b'I'\n", - "b'R'\n", - "b'E'\n", - "b'B'\n", - "b'R'\n", - "b'V'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'R'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x95'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'R'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'K'\n", - "b'H'\n", - "b'B'\n", - "b'P'\n", - "b'W'\n", - "b'R'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'4'\n", - "b'0'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'3'\n", - "b'1'\n", - "b'0'\n", - "b'-'\n", - "b'0'\n", - "b'5'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'-'\n", - "b'0'\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x19'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'0'\n", - "b'1'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'6'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'1'\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\t'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'4'\n", - "b'5'\n", - "b'1'\n", - "b'3'\n", - "b'-'\n", - "b'1'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\xff'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'2'\n", - "b'5'\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'3'\n", - "b'2'\n", - "b'2'\n", - "b'5'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xad'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'C'\n", - "b'C'\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'2'\n", - "b'-'\n", - "b'0'\n", - "b'8'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'8'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'`'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'V'\n", - "b'C'\n", - "b'C'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'['\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'X'\n", - "b'N'\n", - "b'O'\n", - "b'H'\n", - "b'Y'\n", - "b'V'\n", - "b'R'\n", - "b'F'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'D'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'Q'\n", - "b'O'\n", - "b'X'\n", - "b'\\x00'\n", - "b'\\x97'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'o'\n", - "b'd'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'O'\n", - "b'J'\n", - "b'X'\n", - "b'T'\n", - "b'V'\n", - "b'E'\n", - "b'C'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'K'\n", - "b'V'\n", - "b'S'\n", - "b'X'\n", - "b'I'\n", - "b'E'\n", - "b'U'\n", - "b'Q'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x92'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'B'\n", - "b'F'\n", - "b'H'\n", - "b'G'\n", - "b'C'\n", - "b'U'\n", - "b'G'\n", - "b'W'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'6'\n", - "b'1'\n", - "b'.'\n", - "b'9'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'9'\n", - "b'.'\n", - "b'7'\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'8'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'8'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'8'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'9'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'8'\n", - "b'4'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'8'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'a'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b' '\n", - "b'T'\n", - "b'y'\n", - "b'p'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'C'\n", - "b'F'\n", - "b'N'\n", - "b'Q'\n", - "b'M'\n", - "b'I'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'J'\n", - "b'C'\n", - "b'N'\n", - "b'M'\n", - "b'W'\n", - "b'H'\n", - "b'E'\n", - "b'M'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x85'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'u'\n", - "b'F'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'A'\n", - "b'V'\n", - "b'O'\n", - "b'U'\n", - "b'M'\n", - "b'C'\n", - "b'U'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\xdf'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'2'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'3'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'7'\n", - "b'3'\n", - "b'4'\n", - "b'3'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\\\'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'L'\n", - "b'D'\n", - "b'U'\n", - "b'G'\n", - "b'F'\n", - "b'S'\n", - "b'A'\n", - "b'K'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'D'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'Q'\n", - "b'O'\n", - "b'X'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'o'\n", - "b'd'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'O'\n", - "b'J'\n", - "b'X'\n", - "b'T'\n", - "b'V'\n", - "b'E'\n", - "b'C'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'F'\n", - "b'K'\n", - "b'Y'\n", - "b'K'\n", - "b'U'\n", - "b'X'\n", - "b'G'\n", - "b'Q'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'D'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'H'\n", - "b'M'\n", - "b'N'\n", - "b'D'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'6'\n", - "b'1'\n", - "b'.'\n", - "b'9'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'9'\n", - "b'.'\n", - "b'7'\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'4'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'2'\n", - "b'9'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'a'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b' '\n", - "b'T'\n", - "b'y'\n", - "b'p'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'E'\n", - "b'M'\n", - "b'H'\n", - "b'A'\n", - "b'Q'\n", - "b'P'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'L'\n", - "b'N'\n", - "b'H'\n", - "b'N'\n", - "b'V'\n", - "b'M'\n", - "b'A'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'u'\n", - "b'F'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'A'\n", - "b'V'\n", - "b'O'\n", - "b'U'\n", - "b'M'\n", - "b'C'\n", - "b'U'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'5'\n", - "b'6'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\xdf'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'2'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'4'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'7'\n", - "b'3'\n", - "b'4'\n", - "b'3'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'8'\n", - "b'4'\n", - "b'\\x00'\n", - "b'l'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'`'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'\\x00'\n", - "b'v'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'L'\n", - "b'M'\n", - "b'1'\n", - "b'0'\n", - "b'8'\n", - "b'4'\n", - "b'I'\n", - "b'S'\n", - "b'-'\n", - "b'A'\n", - "b'D'\n", - "b'J'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'5'\n", - "b'A'\n", - "b' '\n", - "b'L'\n", - "b'o'\n", - "b'w'\n", - "b' '\n", - "b'D'\n", - "b'r'\n", - "b'o'\n", - "b'p'\n", - "b'o'\n", - "b'u'\n", - "b't'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b's'\n", - "b's'\n", - "b'i'\n", - "b't'\n", - "b'i'\n", - "b'v'\n", - "b'e'\n", - "b' '\n", - "b'R'\n", - "b'e'\n", - "b'g'\n", - "b'u'\n", - "b'l'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b's'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'U'\n", - "b'T'\n", - "b'K'\n", - "b'I'\n", - "b'L'\n", - "b'U'\n", - "b'X'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'L'\n", - "b'M'\n", - "b'1'\n", - "b'0'\n", - "b'8'\n", - "b'4'\n", - "b'I'\n", - "b'S'\n", - "b'-'\n", - "b'A'\n", - "b'D'\n", - "b'J'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'S'\n", - "b'O'\n", - "b'L'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\xb2'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'I'\n", - "b'n'\n", - "b'p'\n", - "b'u'\n", - "b't'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'7'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'I'\n", - "b'N'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'3'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'U'\n", - "b'W'\n", - "b'J'\n", - "b'A'\n", - "b'V'\n", - "b'V'\n", - "b'N'\n", - "b'W'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xa8'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'O'\n", - "b'u'\n", - "b't'\n", - "b'p'\n", - "b'u'\n", - "b't'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'7'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'5'\n", - "b'6'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'O'\n", - "b'U'\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'Y'\n", - "b'Y'\n", - "b'H'\n", - "b'Y'\n", - "b'R'\n", - "b'N'\n", - "b'M'\n", - "b'P'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\xb2'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'O'\n", - "b'u'\n", - "b't'\n", - "b'p'\n", - "b'u'\n", - "b't'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'5'\n", - "b'9'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'W'\n", - "b'A'\n", - "b'P'\n", - "b'I'\n", - "b'D'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'I'\n", - "b'M'\n", - "b'B'\n", - "b'B'\n", - "b'O'\n", - "b'X'\n", - "b'S'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'8'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'_'\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'V'\n", - "b'F'\n", - "b'K'\n", - "b'S'\n", - "b'U'\n", - "b'T'\n", - "b'L'\n", - "b'Q'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'7'\n", - "b'8'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'_'\n", - "b'2'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'H'\n", - "b'D'\n", - "b'G'\n", - "b'B'\n", - "b'C'\n", - "b'P'\n", - "b'C'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'B'\n", - "b'G'\n", - "b'M'\n", - "b'A'\n", - "b'S'\n", - "b'H'\n", - "b'N'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'U'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'L'\n", - "b'M'\n", - "b'1'\n", - "b'0'\n", - "b'8'\n", - "b'4'\n", - "b'I'\n", - "b'S'\n", - "b'-'\n", - "b'A'\n", - "b'D'\n", - "b'J'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'A'\n", - "b'A'\n", - "b'J'\n", - "b'G'\n", - "b'Y'\n", - "b'E'\n", - "b'I'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'1'\n", - "b'9'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\xdf'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'4'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'O'\n", - "b'-'\n", - "b'2'\n", - "b'6'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'T'\n", - "b'O'\n", - "b'-'\n", - "b'2'\n", - "b'6'\n", - "b'3'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'5'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'0'\n", - "b'5'\n", - "b'\\x00'\n", - "b'o'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'Y'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'T'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'R'\n", - "b'I'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'G'\n", - "b'N'\n", - "b'D'\n", - "b'\\x00'\n", - "b'W'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'V'\n", - "b'3'\n", - "b'\\x00'\n", - "b'\\\\'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'E'\n", - "b'F'\n", - "b'E'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'C'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'I'\n", - "b'S'\n", - "b'P'\n", - "b'L'\n", - "b'A'\n", - "b'Y'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'P'\n", - "b'A'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'S'\n", - "b'O'\n", - "b'U'\n", - "b'R'\n", - "b'C'\n", - "b'E'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'S'\n", - "b'H'\n", - "b'E'\n", - "b'E'\n", - "b'T'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'G'\n", - "b'E'\n", - "b'T'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'O'\n", - "b'I'\n", - "b'W'\n", - "b'I'\n", - "b'E'\n", - "b'F'\n", - "b'G'\n", - "b'|'\n", - "b'A'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'5'\n", - "b'9'\n", - "b'9'\n", - "b'8'\n", - "b'7'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'F'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'I'\n", - "b'T'\n", - "b'E'\n", - "b'M'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'A'\n", - "b'P'\n", - "b'_'\n", - "b'P'\n", - "b'O'\n", - "b'L'\n", - "b'A'\n", - "b'R'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'D'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'Q'\n", - "b'O'\n", - "b'X'\n", - "b'\\x00'\n", - "b'\\x98'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'u'\n", - "b'p'\n", - "b'p'\n", - "b'l'\n", - "b'i'\n", - "b'e'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'o'\n", - "b'd'\n", - "b'e'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'O'\n", - "b'J'\n", - "b'X'\n", - "b'T'\n", - "b'V'\n", - "b'E'\n", - "b'C'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'3'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'L'\n", - "b'X'\n", - "b'C'\n", - "b'R'\n", - "b'H'\n", - "b'M'\n", - "b'Q'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'R'\n", - "b'M'\n", - "b'A'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'E'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'T'\n", - "b'R'\n", - "b'I'\n", - "b'C'\n", - "b'A'\n", - "b'L'\n", - "b'='\n", - "b'4'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'O'\n", - "b'M'\n", - "b'E'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'|'\n", - "b'P'\n", - "b'I'\n", - "b'N'\n", - "b'L'\n", - "b'E'\n", - "b'N'\n", - "b'G'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'G'\n", - "b'N'\n", - "b'A'\n", - "b'T'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'2'\n", - "b'\\x00'\n", - "b'\\x93'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'H'\n", - "b'V'\n", - "b'N'\n", - "b'G'\n", - "b'Y'\n", - "b'F'\n", - "b'O'\n", - "b'F'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'i'\n", - "b'n'\n", - "b'U'\n", - "b'n'\n", - "b'i'\n", - "b'q'\n", - "b'u'\n", - "b'e'\n", - "b'I'\n", - "b'd'\n", - "b'\\x00'\n", - "b'\\x9e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'6'\n", - "b'1'\n", - "b'.'\n", - "b'9'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x9f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'7'\n", - "b'|'\n", - "b'R'\n", - "b'A'\n", - "b'D'\n", - "b'I'\n", - "b'U'\n", - "b'S'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'.'\n", - "b'0'\n", - "b'0'\n", - "b'0'\n", - "b'|'\n", - "b'E'\n", - "b'N'\n", - "b'D'\n", - "b'A'\n", - "b'N'\n", - "b'G'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'1'\n", - "b'9'\n", - "b'.'\n", - "b'7'\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'2'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'9'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'N'\n", - "b'O'\n", - "b'T'\n", - "b'A'\n", - "b'C'\n", - "b'C'\n", - "b'E'\n", - "b'S'\n", - "b'I'\n", - "b'B'\n", - "b'L'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'6'\n", - "b'7'\n", - "b'1'\n", - "b'1'\n", - "b'6'\n", - "b'8'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'2'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x9d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'-'\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'3'\n", - "b'2'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'r'\n", - "b'u'\n", - "b'e'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'F'\n", - "b'i'\n", - "b't'\n", - "b't'\n", - "b'e'\n", - "b'd'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'Q'\n", - "b'D'\n", - "b'V'\n", - "b'W'\n", - "b'M'\n", - "b'S'\n", - "b'G'\n", - "b'D'\n", - "b'\\x00'\n", - "b'\\x86'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'3'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'4'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'C'\n", - "b'?'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'e'\n", - "b's'\n", - "b'i'\n", - "b'g'\n", - "b'n'\n", - "b'a'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x8b'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'0'\n", - "b'5'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'4'\n", - "b'7'\n", - "b'0'\n", - "b'u'\n", - "b'F'\n", - "b' '\n", - "b'1'\n", - "b'6'\n", - "b'V'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'A'\n", - "b'V'\n", - "b'O'\n", - "b'U'\n", - "b'M'\n", - "b'C'\n", - "b'U'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'1'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'2'\n", - "b'1'\n", - "b'6'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'A'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'8'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'3'\n", - "b'5'\n", - "b'2'\n", - "b'8'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'B'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'1'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'3'\n", - "b'2'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'C'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'4'\n", - "b'\\x00'\n", - "b'\\x07'\n", - "b'\\x01'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'D'\n", - "b'E'\n", - "b'S'\n", - "b'C'\n", - "b'R'\n", - "b'I'\n", - "b'P'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'='\n", - "b'7'\n", - "b'3'\n", - "b'4'\n", - "b'3'\n", - "b' '\n", - "b'P'\n", - "b'o'\n", - "b'l'\n", - "b'a'\n", - "b'r'\n", - "b' '\n", - "b'C'\n", - "b'a'\n", - "b'p'\n", - "b'a'\n", - "b'c'\n", - "b'i'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'U'\n", - "b'S'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'M'\n", - "b'P'\n", - "b'O'\n", - "b'N'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'R'\n", - "b'A'\n", - "b'R'\n", - "b'Y'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'M'\n", - "b'C'\n", - "b'C'\n", - "b'T'\n", - "b'-'\n", - "b'D'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'K'\n", - "b'S'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'K'\n", - "b'E'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'3'\n", - "b'7'\n", - "b'\\x00'\n", - "b'\\xe1'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'B'\n", - "b'8'\n", - "b'/'\n", - "b'3'\n", - "b'.'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'T'\n", - "b'Y'\n", - "b'P'\n", - "b'E'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'I'\n", - "b'B'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'0'\n", - "b'='\n", - "b'A'\n", - "b'l'\n", - "b't'\n", - "b'i'\n", - "b'u'\n", - "b'm'\n", - "b' '\n", - "b'N'\n", - "b'a'\n", - "b'n'\n", - "b'o'\n", - "b'b'\n", - "b'o'\n", - "b'a'\n", - "b'r'\n", - "b'd'\n", - "b' '\n", - "b'P'\n", - "b'r'\n", - "b'o'\n", - "b'j'\n", - "b'e'\n", - "b'c'\n", - "b't'\n", - "b'.'\n", - "b'I'\n", - "b'n'\n", - "b't'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'T'\n", - "b'Y'\n", - "b'0'\n", - "b'='\n", - "b'R'\n", - "b'B'\n", - "b'8'\n", - "b'/'\n", - "b'3'\n", - "b'.'\n", - "b'5'\n", - "b'|'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'F'\n", - "b'I'\n", - "b'L'\n", - "b'E'\n", - "b'K'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'0'\n", - "b'='\n", - "b'P'\n", - "b'C'\n", - "b'B'\n", - "b'L'\n", - "b'i'\n", - "b'b'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'C'\n", - "b'U'\n", - "b'R'\n", - "b'R'\n", - "b'E'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'I'\n", - "b'N'\n", - "b'T'\n", - "b'E'\n", - "b'G'\n", - "b'R'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'D'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'D'\n", - "b'A'\n", - "b'T'\n", - "b'A'\n", - "b'B'\n", - "b'A'\n", - "b'S'\n", - "b'E'\n", - "b'M'\n", - "b'O'\n", - "b'D'\n", - "b'E'\n", - "b'L'\n", - "b'='\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'6'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'0'\n", - "b'\\x00'\n", - "b'\\x1a'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'8'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'I'\n", - "b'N'\n", - "b'D'\n", - "b'E'\n", - "b'X'\n", - "b'='\n", - "b'2'\n", - "b'4'\n", - "b'0'\n", - "b'\\x00'\n", - "b'n'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'3'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'3'\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'3'\n", - "b'='\n", - "b'1'\n", - "b'7'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'3'\n", - "b'='\n", - "b'3'\n", - "b'8'\n", - "b'0'\n", - "b'\\x00'\n", - "b'^'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'7'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'I'\n", - "b'N'\n", - "b'E'\n", - "b'W'\n", - "b'I'\n", - "b'D'\n", - "b'T'\n", - "b'H'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'C'\n", - "b'O'\n", - "b'U'\n", - "b'N'\n", - "b'T'\n", - "b'='\n", - "b'2'\n", - "b'|'\n", - "b'X'\n", - "b'1'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'1'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'X'\n", - "b'2'\n", - "b'='\n", - "b'9'\n", - "b'0'\n", - "b'|'\n", - "b'Y'\n", - "b'2'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'\\x00'\n", - "b'U'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'2'\n", - "b'5'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'X'\n", - "b'='\n", - "b'6'\n", - "b'0'\n", - "b'|'\n", - "b'L'\n", - "b'O'\n", - "b'C'\n", - "b'A'\n", - "b'T'\n", - "b'I'\n", - "b'O'\n", - "b'N'\n", - "b'.'\n", - "b'Y'\n", - "b'='\n", - "b'4'\n", - "b'4'\n", - "b'0'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'1'\n", - "b'2'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'D'\n", - "b'C'\n", - "b'_'\n", - "b'I'\n", - "b'N'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'u'\n", - "b'r'\n", - "b'r'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'J'\n", - "b'G'\n", - "b'I'\n", - "b'A'\n", - "b'U'\n", - "b'Y'\n", - "b'W'\n", - "b'E'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'u'\n", - "b'r'\n", - "b'r'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'D'\n", - "b'a'\n", - "b't'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'V'\n", - "b'O'\n", - "b'O'\n", - "b'C'\n", - "b'Y'\n", - "b'G'\n", - "b'R'\n", - "b'H'\n", - "b'\\x00'\n", - "b'z'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'J'\n", - "b'J'\n", - "b'T'\n", - "b'H'\n", - "b'U'\n", - "b'B'\n", - "b'P'\n", - "b'N'\n", - "b'\\x00'\n", - "b'z'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'a'\n", - "b't'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'G'\n", - "b'T'\n", - "b'N'\n", - "b'Y'\n", - "b'K'\n", - "b'K'\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x8d'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'o'\n", - "b'c'\n", - "b'u'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'F'\n", - "b'u'\n", - "b'l'\n", - "b'l'\n", - "b'P'\n", - "b'a'\n", - "b't'\n", - "b'h'\n", - "b'A'\n", - "b'n'\n", - "b'd'\n", - "b'N'\n", - "b'a'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'X'\n", - "b'C'\n", - "b'G'\n", - "b'W'\n", - "b'C'\n", - "b'E'\n", - "b'D'\n", - "b'Y'\n", - "b'\\x00'\n", - "b'\\x82'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'o'\n", - "b'c'\n", - "b'u'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'N'\n", - "b'a'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'P'\n", - "b'N'\n", - "b'B'\n", - "b'Y'\n", - "b'I'\n", - "b'X'\n", - "b'T'\n", - "b'\\x00'\n", - "b'\\x82'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'M'\n", - "b'o'\n", - "b'd'\n", - "b'i'\n", - "b'f'\n", - "b'i'\n", - "b'e'\n", - "b'd'\n", - "b'D'\n", - "b'a'\n", - "b't'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'L'\n", - "b'B'\n", - "b'M'\n", - "b'K'\n", - "b'N'\n", - "b'I'\n", - "b'M'\n", - "b'\\x00'\n", - "b'\\x80'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'p'\n", - "b'p'\n", - "b'r'\n", - "b'o'\n", - "b'v'\n", - "b'e'\n", - "b'd'\n", - "b'B'\n", - "b'y'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'C'\n", - "b'R'\n", - "b'W'\n", - "b'J'\n", - "b'X'\n", - "b'B'\n", - "b'K'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'h'\n", - "b'e'\n", - "b'c'\n", - "b'k'\n", - "b'e'\n", - "b'd'\n", - "b'B'\n", - "b'y'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'P'\n", - "b'H'\n", - "b'P'\n", - "b'D'\n", - "b'L'\n", - "b'T'\n", - "b'I'\n", - "b'\\x00'\n", - "b'|'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'u'\n", - "b't'\n", - "b'h'\n", - "b'o'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'N'\n", - "b'Y'\n", - "b'A'\n", - "b'L'\n", - "b'H'\n", - "b'T'\n", - "b'L'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'C'\n", - "b'o'\n", - "b'm'\n", - "b'p'\n", - "b'a'\n", - "b'n'\n", - "b'y'\n", - "b'N'\n", - "b'a'\n", - "b'm'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'X'\n", - "b'B'\n", - "b'K'\n", - "b'U'\n", - "b'R'\n", - "b'X'\n", - "b'P'\n", - "b'\\x00'\n", - "b'}'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'r'\n", - "b'a'\n", - "b'w'\n", - "b'n'\n", - "b'B'\n", - "b'y'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'U'\n", - "b'T'\n", - "b'C'\n", - "b'Y'\n", - "b'S'\n", - "b'C'\n", - "b'L'\n", - "b'V'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'E'\n", - "b'n'\n", - "b'g'\n", - "b'i'\n", - "b'n'\n", - "b'e'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'V'\n", - "b'A'\n", - "b'H'\n", - "b'J'\n", - "b'I'\n", - "b'N'\n", - "b'Y'\n", - "b'D'\n", - "b'\\x00'\n", - "b'\\x82'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'O'\n", - "b'r'\n", - "b'g'\n", - "b'a'\n", - "b'n'\n", - "b'i'\n", - "b'z'\n", - "b'a'\n", - "b't'\n", - "b'i'\n", - "b'o'\n", - "b'n'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'K'\n", - "b'K'\n", - "b'M'\n", - "b'I'\n", - "b'N'\n", - "b'N'\n", - "b'N'\n", - "b'N'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'1'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'N'\n", - "b'D'\n", - "b'S'\n", - "b'W'\n", - "b'R'\n", - "b'Y'\n", - "b'Q'\n", - "b'U'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'2'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'R'\n", - "b'H'\n", - "b'K'\n", - "b'O'\n", - "b'F'\n", - "b'Q'\n", - "b'P'\n", - "b'B'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'3'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'H'\n", - "b'G'\n", - "b'V'\n", - "b'E'\n", - "b'F'\n", - "b'W'\n", - "b'L'\n", - "b'T'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'A'\n", - "b'd'\n", - "b'd'\n", - "b'r'\n", - "b'e'\n", - "b's'\n", - "b's'\n", - "b'4'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'M'\n", - "b'Q'\n", - "b'T'\n", - "b'Q'\n", - "b'X'\n", - "b'O'\n", - "b'K'\n", - "b'H'\n", - "b'\\x00'\n", - "b'{'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'T'\n", - "b'i'\n", - "b't'\n", - "b'l'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'W'\n", - "b'I'\n", - "b'V'\n", - "b'Q'\n", - "b'Y'\n", - "b'J'\n", - "b'F'\n", - "b'O'\n", - "b'\\x00'\n", - "b'\\x84'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'D'\n", - "b'o'\n", - "b'c'\n", - "b'u'\n", - "b'm'\n", - "b'e'\n", - "b'n'\n", - "b't'\n", - "b'N'\n", - "b'u'\n", - "b'm'\n", - "b'b'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'D'\n", - "b'D'\n", - "b'F'\n", - "b'T'\n", - "b'Q'\n", - "b'N'\n", - "b'O'\n", - "b'C'\n", - "b'\\x00'\n", - "b'~'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'e'\n", - "b'v'\n", - "b'i'\n", - "b's'\n", - "b'i'\n", - "b'o'\n", - "b'n'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'C'\n", - "b'J'\n", - "b'K'\n", - "b'W'\n", - "b'J'\n", - "b'R'\n", - "b'K'\n", - "b'H'\n", - "b'\\x00'\n", - "b'\\x81'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'h'\n", - "b'e'\n", - "b'e'\n", - "b't'\n", - "b'N'\n", - "b'u'\n", - "b'm'\n", - "b'b'\n", - "b'e'\n", - "b'r'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'M'\n", - "b'F'\n", - "b'W'\n", - "b'Y'\n", - "b'A'\n", - "b'G'\n", - "b'S'\n", - "b'B'\n", - "b'\\x00'\n", - "b'\\x80'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'S'\n", - "b'h'\n", - "b'e'\n", - "b'e'\n", - "b't'\n", - "b'T'\n", - "b'o'\n", - "b't'\n", - "b'a'\n", - "b'l'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'E'\n", - "b'T'\n", - "b'X'\n", - "b'E'\n", - "b'N'\n", - "b'R'\n", - "b'V'\n", - "b'W'\n", - "b'\\x00'\n", - "b'z'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'R'\n", - "b'u'\n", - "b'l'\n", - "b'e'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'T'\n", - "b'F'\n", - "b'M'\n", - "b'Y'\n", - "b'M'\n", - "b'W'\n", - "b'J'\n", - "b'C'\n", - "b'\\x00'\n", - "b'\\x7f'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'C'\n", - "b'O'\n", - "b'R'\n", - "b'D'\n", - "b'='\n", - "b'4'\n", - "b'1'\n", - "b'|'\n", - "b'O'\n", - "b'W'\n", - "b'N'\n", - "b'E'\n", - "b'R'\n", - "b'P'\n", - "b'A'\n", - "b'R'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'-'\n", - "b'1'\n", - "b'|'\n", - "b'C'\n", - "b'O'\n", - "b'L'\n", - "b'O'\n", - "b'R'\n", - "b'='\n", - "b'8'\n", - "b'3'\n", - "b'8'\n", - "b'8'\n", - "b'6'\n", - "b'0'\n", - "b'8'\n", - "b'|'\n", - "b'F'\n", - "b'O'\n", - "b'N'\n", - "b'T'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'T'\n", - "b'E'\n", - "b'X'\n", - "b'T'\n", - "b'='\n", - "b'*'\n", - "b'|'\n", - "b'I'\n", - "b'S'\n", - "b'H'\n", - "b'I'\n", - "b'D'\n", - "b'D'\n", - "b'E'\n", - "b'N'\n", - "b'='\n", - "b'T'\n", - "b'|'\n", - "b'N'\n", - "b'A'\n", - "b'M'\n", - "b'E'\n", - "b'='\n", - "b'I'\n", - "b'm'\n", - "b'a'\n", - "b'g'\n", - "b'e'\n", - "b'P'\n", - "b'a'\n", - "b't'\n", - "b'h'\n", - "b'|'\n", - "b'R'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'O'\n", - "b'N'\n", - "b'L'\n", - "b'Y'\n", - "b'S'\n", - "b'T'\n", - "b'A'\n", - "b'T'\n", - "b'E'\n", - "b'='\n", - "b'1'\n", - "b'|'\n", - "b'U'\n", - "b'N'\n", - "b'I'\n", - "b'Q'\n", - "b'U'\n", - "b'E'\n", - "b'I'\n", - "b'D'\n", - "b'='\n", - "b'G'\n", - "b'J'\n", - "b'E'\n", - "b'E'\n", - "b'Q'\n", - "b'U'\n", - "b'Q'\n", - "b'G'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x15'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'|'\n", - "b'H'\n", - "b'E'\n", - "b'A'\n", - "b'D'\n", - "b'E'\n", - "b'R'\n", - "b'='\n", - "b'I'\n", - "b'c'\n", - "b'o'\n", - "b'n'\n", - "b' '\n", - "b's'\n", - "b't'\n", - "b'o'\n", - "b'r'\n", - "b'a'\n", - "b'g'\n", - "b'e'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b'\\x00'\n", - "b''\n" - ] - } - ], - "source": [ - "import tempfile\n", - "import shutil\n", - "import olefile\n", - "\n", - "dirpath = tempfile.mkdtemp()\n", - "dir = r\"C:\\Users\\Meuep\\Documents\\GitHub\\Altium Schematic Parser\"\n", - "schematic = r\"altium_crap\\Snippets\\Schematic\\Simple Regulator Circuit.SchDoc\"\n", - "fullPath = os.path.join(dir, schematic)\n", - "print(fullPath)\n", - "\n", - "\n", - "blah = open(fullPath, \"rb\")\n", - "byte = blah.read(1)\n", - "while byte != b'':\n", - " byte = blah.read(1)\n", - " print(byte)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "True\n" - ] - } - ], - "source": [ - "import olefile\n", - "print(olefile.isOleFile(fullPath))\n", - "\n", - "blah = olefile.OleFileIO(fullPath)" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "collapsed": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "[['FileHeader'], ['Storage']]\n", - "b'R\\x00\\x00\\x00|HEADER=Protel for Windows - Schematic Capture Binary File Version 5.0|WEIGHT=271\\x00\\x9c\\x01\\x00\\x00|RECORD=31|FONTIDCOUNT=2|SIZE1=10|FONTNAME1=Times New Roman|SIZE2=10|ROTATION2=90|FONTNAME2=Times New Roman|USEMBCS=T|ISBOC=T|HOTSPOTGRIDON=T|HOTSPOTGRIDON=T|HOTSPOTGRIDSIZE=4|SYSTEMFONT=1|BORDERON=T|TITLEBLOCKON=T|SHEETNUMBERSPACESIZE=4|AREACOLOR=16317695|SNAPGRIDON=T|SNAPGRIDSIZE=10|VISIBLEGRIDON=T|VISIBLEGRIDSIZE=10|CUSTOMX=1500|CUSTOMY=950|CUSTOMXZONES=6|CUSTOMYZONES=4|CUSTOMMARGINWIDTH=20|DISPLAY_UNIT=4\\x00I\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=RES|COMPONENTDESCRIPTION=Resistor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=250|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=URTCVKYV|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=RES\\x00\\x9e\\x00\\x00\\x00|RECORD=2|OWNERINDEX=1|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=250|LOCATION.Y=400|NAME=2|DESIGNATOR=2|SWAPIDPART=0\\x00\\x91\\x00\\x00\\x00|RECORD=41|OWNERINDEX=2|OWNERPARTID=-1|LOCATION.X=250|LOCATION.Y=400|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=EKPBCYBO|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9e\\x00\\x00\\x00|RECORD=2|OWNERINDEX=1|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=250|LOCATION.Y=420|NAME=1|DESIGNATOR=1|SWAPIDPART=0\\x00\\x91\\x00\\x00\\x00|RECORD=41|OWNERINDEX=4|OWNERPARTID=-1|LOCATION.X=250|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=GGLYOSXB|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xf0\\x00\\x00\\x00|RECORD=6|OWNERINDEX=1|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=10|X1=250|Y1=400|X2=250|Y2=404|X3=248|Y3=405|X4=252|Y4=407|X5=248|Y5=409|X6=252|Y6=411|X7=248|Y7=413|X8=252|Y8=415|X9=250|Y9=416|X10=250|Y10=420\\x00\\x9b\\x00\\x00\\x00|RECORD=41|OWNERINDEX=1|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=UTDGVUXU\\x00\\x84\\x00\\x00\\x00|RECORD=34|OWNERINDEX=1|OWNERPARTID=-1|LOCATION.X=262|LOCATION.Y=410|COLOR=8388608|FONTID=1|TEXT=R?|NAME=Designator|READONLYSTATE=1\\x00\\x94\\x00\\x00\\x00|RECORD=41|OWNERINDEX=1|OWNERPARTID=1|LOCATION.X=262|LOCATION.Y=400|COLOR=8388608|FONTID=1|TEXT=120R|NAME=Comment|READONLYSTATE=1|UNIQUEID=WKHBPWRQ\\x00\\x18\\x00\\x00\\x00|RECORD=44|OWNERINDEX=1\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=0402 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1005-0402|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1005-0402|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=11\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=11\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=0504 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1310-0504|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1310-0504|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=14\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=14\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=0603 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1608-0603|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1608-0603|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=17\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=17\\x00\\xe8\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|MODELNAME=CC2012-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=CC2012-0805|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=20\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=20\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=1206 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3216-1206|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3216-1206|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=23\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=23\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=1210 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3225-1210|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-1210|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=26\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=26\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=1805 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC4513-1805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC4513-1805|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=29\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=29\\x00\\xff\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|DESCRIPTION=2512 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC2512|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC2512|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=32\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=32\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|MODELNAME=CC3225-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=35\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=35\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=10|MODELNAME=CC1202-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1202-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=38\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=38\\x00I\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=RES|COMPONENTDESCRIPTION=Resistor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=380|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=EFOAYJRS|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=RES\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=41|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=350|NAME=2|DESIGNATOR=2|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=42|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=350|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=JEVLADKF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=41|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=370|NAME=1|DESIGNATOR=1|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=44|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=370|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=GLYCMUWU|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xf1\\x00\\x00\\x00|RECORD=6|OWNERINDEX=41|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=10|X1=170|Y1=350|X2=170|Y2=354|X3=168|Y3=355|X4=172|Y4=357|X5=168|Y5=359|X6=172|Y6=361|X7=168|Y7=363|X8=172|Y8=365|X9=170|Y9=366|X10=170|Y10=370\\x00\\x9c\\x00\\x00\\x00|RECORD=41|OWNERINDEX=41|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=382|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=QNCHMMSB\\x00\\x85\\x00\\x00\\x00|RECORD=34|OWNERINDEX=41|OWNERPARTID=-1|LOCATION.X=182|LOCATION.Y=360|COLOR=8388608|FONTID=1|TEXT=R?|NAME=Designator|READONLYSTATE=1\\x00\\x95\\x00\\x00\\x00|RECORD=41|OWNERINDEX=41|OWNERPARTID=1|LOCATION.X=182|LOCATION.Y=350|COLOR=8388608|FONTID=1|TEXT=100R|NAME=Comment|READONLYSTATE=1|UNIQUEID=WKHBPWRQ\\x00\\x19\\x00\\x00\\x00|RECORD=44|OWNERINDEX=41\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=0402 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1005-0402|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1005-0402|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=51\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=51\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=0504 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1310-0504|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1310-0504|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=54\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=54\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=0603 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1608-0603|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1608-0603|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=57\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=57\\x00\\xe8\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|MODELNAME=CC2012-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=CC2012-0805|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=60\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=60\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=1206 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3216-1206|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3216-1206|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=63\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=63\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=1210 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3225-1210|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-1210|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=66\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=66\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=1805 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC4513-1805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC4513-1805|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=69\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=69\\x00\\xff\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|DESCRIPTION=2512 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC2512|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC2512|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=72\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=72\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|MODELNAME=CC3225-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=75\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=75\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=50|MODELNAME=CC1202-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1202-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=78\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=78\\x00W\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=RES|COMPONENTDESCRIPTION=Resistor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=300|ORIENTATION=2|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=TYBILLSP|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=RES\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=81|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=330|NAME=2|DESIGNATOR=2|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=82|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=330|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=CAAPBNHF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9f\\x00\\x00\\x00|RECORD=2|OWNERINDEX=81|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=170|LOCATION.Y=310|NAME=1|DESIGNATOR=1|SWAPIDPART=0\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=84|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=310|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=PVYUICHY|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xf1\\x00\\x00\\x00|RECORD=6|OWNERINDEX=81|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=10|X1=170|Y1=330|X2=170|Y2=326|X3=172|Y3=325|X4=168|Y4=323|X5=172|Y5=321|X6=168|Y6=319|X7=172|Y7=317|X8=168|Y8=315|X9=170|Y9=314|X10=170|Y10=310\\x00\\x9b\\x00\\x00\\x00|RECORD=41|OWNERINDEX=81|OWNERPARTID=-1|LOCATION.X=168|LOCATION.Y=342|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=YVIREBRV\\x00\\x85\\x00\\x00\\x00|RECORD=34|OWNERINDEX=81|OWNERPARTID=-1|LOCATION.X=180|LOCATION.Y=320|COLOR=8388608|FONTID=1|TEXT=R?|NAME=Designator|READONLYSTATE=1\\x00\\x95\\x00\\x00\\x00|RECORD=41|OWNERINDEX=81|OWNERPARTID=1|LOCATION.X=180|LOCATION.Y=310|COLOR=8388608|FONTID=1|TEXT=100R|NAME=Comment|READONLYSTATE=1|UNIQUEID=WKHBPWRQ\\x00\\x19\\x00\\x00\\x00|RECORD=44|OWNERINDEX=81\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=0402 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1005-0402|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1005-0402|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=91\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=91\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=0504 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1310-0504|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1310-0504|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=94\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=94\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=0603 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC1608-0603|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1608-0603|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x19\\x00\\x00\\x00|RECORD=46|OWNERINDEX=97\\x00\\x19\\x00\\x00\\x00|RECORD=48|OWNERINDEX=97\\x00\\xe8\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|MODELNAME=CC2012-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=CC2012-0805|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=100\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=100\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=1206 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3216-1206|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3216-1206|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=103\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=103\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=1210 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC3225-1210|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-1210|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=106\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=106\\x00\\t\\x01\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=1805 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC4513-1805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC4513-1805|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=109\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=109\\x00\\xff\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|DESCRIPTION=2512 Resistor|USECOMPONENTLIBRARY=T|MODELNAME=CC2512|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC2512|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=112\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=112\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|MODELNAME=CC3225-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC3225-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=115\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=115\\x00\\xad\\x00\\x00\\x00|RECORD=45|OWNERINDEX=90|MODELNAME=CC1202-0805|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=CC1202-0805|MODELDATAFILEKIND0=PCBLib|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=118\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=118\\x00n\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=3|X1=220|Y1=440|X2=350|Y2=440|X3=350|Y3=430\\x00`\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=2|X1=250|Y1=440|X2=250|Y2=430\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=2|SHOWNETNAME=T|LOCATION.X=350|LOCATION.Y=440|ORIENTATION=1|COLOR=128|TEXT=VCC\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=170|LOCATION.Y=300|ORIENTATION=3|COLOR=128|TEXT=GND\\x00[\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=CAP_POLAR|COMPONENTDESCRIPTION=Polar Capacitor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=90|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=XNOHYVRF|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=CAP_POLAR\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=1|LOCATION.X=82|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier|UNIQUEID=UDYMWQOX\\x00\\x97\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=1|LOCATION.X=82|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier Code|UNIQUEID=OJXTVECH\\x00\\x92\\x00\\x00\\x00|RECORD=2|OWNERINDEX=125|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=90|LOCATION.Y=420|NAME=1|DESIGNATOR=1\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=128|OWNERPARTID=-1|LOCATION.X=90|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=KVSXIEUQ|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x92\\x00\\x00\\x00|RECORD=2|OWNERINDEX=125|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=90|LOCATION.Y=410|NAME=2|DESIGNATOR=2\\x00\\x92\\x00\\x00\\x00|RECORD=41|OWNERINDEX=130|OWNERPARTID=-1|LOCATION.X=90|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=BFHGCUGW|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9d\\x00\\x00\\x00|RECORD=12|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=90|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=61.928|ENDANGLE=90.000|COLOR=16711680\\x00\\x9e\\x00\\x00\\x00|RECORD=12|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=90|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=90.000|ENDANGLE=119.745|COLOR=16711680\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=82|Y1=417|X2=98|Y2=417\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=90|Y1=420|X2=90|Y2=417\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=90|Y1=413|X2=90|Y2=410\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=86|Y1=423|X2=86|Y2=419\\x00}\\x00\\x00\\x00|RECORD=6|OWNERINDEX=125|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=84|Y1=421|X2=88|Y2=421\\x00\\x8d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=-1|LOCATION.X=98|LOCATION.Y=393|COLOR=8388608|FONTID=1|TEXT=Tant|NAME=Capacitor Type|UNIQUEID=KCFNQMIC\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=JCNMWHEM\\x00\\x85\\x00\\x00\\x00|RECORD=34|OWNERINDEX=125|OWNERPARTID=-1|LOCATION.X=98|LOCATION.Y=413|COLOR=8388608|FONTID=1|TEXT=C?|NAME=Designator|READONLYSTATE=1\\x00\\x85\\x00\\x00\\x00|RECORD=41|OWNERINDEX=125|OWNERPARTID=1|LOCATION.X=98|LOCATION.Y=403|COLOR=8388608|FONTID=1|TEXT=10uF|NAME=Comment|UNIQUEID=AVOUMCUE\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=125\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=143|DESCRIPTION=3216 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-A|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-A|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=144\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=144\\x00\\xdf\\x00\\x00\\x00|RECORD=45|OWNERINDEX=143|MODELNAME=MCCT-B|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=MCCT-B|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=147\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=147\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=143|DESCRIPTION=6032 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-C|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-C|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=150\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=150\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=143|DESCRIPTION=7343 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-D|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-D|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=153\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=153\\x00\\\\\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=CAP_POLAR|COMPONENTDESCRIPTION=Polar Capacitor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=300|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=LDUGFSAK|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=CAP_POLAR\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=1|LOCATION.X=292|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier|UNIQUEID=UDYMWQOX\\x00\\x98\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=1|LOCATION.X=292|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier Code|UNIQUEID=OJXTVECH\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=156|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=300|LOCATION.Y=420|NAME=1|DESIGNATOR=1\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=159|OWNERPARTID=-1|LOCATION.X=300|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=FKYKUXGQ|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=156|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=300|LOCATION.Y=410|NAME=2|DESIGNATOR=2\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=161|OWNERPARTID=-1|LOCATION.X=300|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=DYSTHMND|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9e\\x00\\x00\\x00|RECORD=12|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=300|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=61.928|ENDANGLE=90.000|COLOR=16711680\\x00\\x9f\\x00\\x00\\x00|RECORD=12|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=300|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=90.000|ENDANGLE=119.745|COLOR=16711680\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=292|Y1=417|X2=308|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=300|Y1=420|X2=300|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=300|Y1=413|X2=300|Y2=410\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=296|Y1=423|X2=296|Y2=419\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=156|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=294|Y1=421|X2=298|Y2=421\\x00\\x8e\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=-1|LOCATION.X=308|LOCATION.Y=393|COLOR=8388608|FONTID=1|TEXT=Tant|NAME=Capacitor Type|UNIQUEID=FEMHAQPR\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=RLNHNVMA\\x00\\x86\\x00\\x00\\x00|RECORD=34|OWNERINDEX=156|OWNERPARTID=-1|LOCATION.X=308|LOCATION.Y=413|COLOR=8388608|FONTID=1|TEXT=C?|NAME=Designator|READONLYSTATE=1\\x00\\x86\\x00\\x00\\x00|RECORD=41|OWNERINDEX=156|OWNERPARTID=1|LOCATION.X=308|LOCATION.Y=403|COLOR=8388608|FONTID=1|TEXT=10uF|NAME=Comment|UNIQUEID=AVOUMCUE\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=156\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=174|DESCRIPTION=3216 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-A|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-A|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=175\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=175\\x00\\xdf\\x00\\x00\\x00|RECORD=45|OWNERINDEX=174|MODELNAME=MCCT-B|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=MCCT-B|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=178\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=178\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=174|DESCRIPTION=6032 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-C|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-C|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=181\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=181\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=174|DESCRIPTION=7343 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-D|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-D|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=184\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=184\\x00l\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=3|X1=120|Y1=440|X2=90|Y2=440|X3=90|Y3=430\\x00n\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=90|LOCATION.Y=400|ORIENTATION=3|COLOR=128|TEXT=GND\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=300|LOCATION.Y=400|ORIENTATION=3|COLOR=128|TEXT=GND\\x00`\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=2|X1=300|Y1=440|X2=300|Y2=430\\x00v\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=LM1084IS-ADJ|COMPONENTDESCRIPTION=5A Low Dropout Possitive Regulators|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=120|LOCATION.Y=440|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=KUTKILUX|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=LM1084IS-ADJ\\x00\\x98\\x00\\x00\\x00|RECORD=14|OWNERINDEX=191|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=410|CORNER.X=200|CORNER.Y=450|COLOR=128|AREACOLOR=11599871|ISSOLID=T\\x00\\xb2\\x00\\x00\\x00|RECORD=2|OWNERINDEX=191|OWNERPARTID=1|DESCRIPTION=Input|FORMALTYPE=1|ELECTRICAL=7|PINCONGLOMERATE=58|PINLENGTH=20|LOCATION.X=140|LOCATION.Y=440|NAME=IN|DESIGNATOR=3|SWAPIDPIN=1\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=193|OWNERPARTID=-1|LOCATION.X=140|LOCATION.Y=440|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=UWJAVVNW|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xa8\\x00\\x00\\x00|RECORD=2|OWNERINDEX=191|OWNERPARTID=1|DESCRIPTION=Output|FORMALTYPE=1|ELECTRICAL=7|PINCONGLOMERATE=56|PINLENGTH=20|LOCATION.X=200|LOCATION.Y=440|NAME=OUT|DESIGNATOR=2\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=195|OWNERPARTID=-1|LOCATION.X=200|LOCATION.Y=440|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=YYHYRNMP|ISHIDDEN=T|NAME=PinUniqueId\\x00\\xb2\\x00\\x00\\x00|RECORD=2|OWNERINDEX=191|OWNERPARTID=1|DESCRIPTION=Output|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=59|PINLENGTH=20|LOCATION.X=170|LOCATION.Y=410|NAME=1|DESIGNATOR=1|SWAPIDPIN=3\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=197|OWNERPARTID=-1|LOCATION.X=170|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=IMBBOXSF|ISHIDDEN=T|NAME=PinUniqueId\\x00~\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=378|COLOR=8388608|FONTID=1|NAME=Comment_1|UNIQUEID=VFKSUTLQ\\x00~\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=378|COLOR=8388608|FONTID=1|NAME=Comment_2|UNIQUEID=HDGBCPCC\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=470|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=BGMASHNC\\x00\\x86\\x00\\x00\\x00|RECORD=34|OWNERINDEX=191|OWNERPARTID=-1|LOCATION.X=140|LOCATION.Y=460|COLOR=8388608|FONTID=1|TEXT=U?|NAME=Designator|READONLYSTATE=1\\x00\\x8e\\x00\\x00\\x00|RECORD=41|OWNERINDEX=191|OWNERPARTID=1|LOCATION.X=140|LOCATION.Y=450|COLOR=8388608|FONTID=1|TEXT=LM1084IS-ADJ|NAME=Comment|UNIQUEID=WAAJGYEI\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=191\\x00\\xdf\\x00\\x00\\x00|RECORD=45|OWNERINDEX=204|MODELNAME=TO-263|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=TO-263|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=205\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=205\\x00o\\x00\\x00\\x00|RECORD=17|OWNERPARTID=-1|STYLE=4|SHOWNETNAME=F|LOCATION.X=350|LOCATION.Y=400|ORIENTATION=3|COLOR=128|TEXT=GND\\x00W\\x00\\x00\\x00|RECORD=4|OWNERPARTID=-1|LOCATION.X=220|LOCATION.Y=450|COLOR=8388608|FONTID=1|TEXT=3V3\\x00\\\\\\x01\\x00\\x00|RECORD=1|LIBREFERENCE=CAP_POLAR|COMPONENTDESCRIPTION=Polar Capacitor|PARTCOUNT=2|DISPLAYMODECOUNT=1|OWNERPARTID=-1|LOCATION.X=350|LOCATION.Y=430|CURRENTPARTID=1|LIBRARYPATH=*|SOURCELIBRARYNAME=Altium Nanoboard Project.IntLib|SHEETPARTFILENAME=*|TARGETFILENAME=*|UNIQUEID=KOIWIEFG|AREACOLOR=11599871|COLOR=128|PARTIDLOCKED=F|DESIGNITEMID=CAP_POLAR\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=1|LOCATION.X=342|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier|UNIQUEID=UDYMWQOX\\x00\\x98\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=1|LOCATION.X=342|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|ISHIDDEN=T|NAME=Supplier Code|UNIQUEID=OJXTVECH\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=210|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=33|PINLENGTH=10|LOCATION.X=350|LOCATION.Y=420|NAME=1|DESIGNATOR=1\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=213|OWNERPARTID=-1|LOCATION.X=350|LOCATION.Y=420|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=LXCRHMQF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x93\\x00\\x00\\x00|RECORD=2|OWNERINDEX=210|OWNERPARTID=1|FORMALTYPE=1|ELECTRICAL=4|PINCONGLOMERATE=35|PINLENGTH=10|LOCATION.X=350|LOCATION.Y=410|NAME=2|DESIGNATOR=2\\x00\\x93\\x00\\x00\\x00|RECORD=41|OWNERINDEX=215|OWNERPARTID=-1|LOCATION.X=350|LOCATION.Y=410|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=HVNGYFOF|ISHIDDEN=T|NAME=PinUniqueId\\x00\\x9e\\x00\\x00\\x00|RECORD=12|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=350|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=61.928|ENDANGLE=90.000|COLOR=16711680\\x00\\x9f\\x00\\x00\\x00|RECORD=12|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LOCATION.X=350|LOCATION.Y=397|RADIUS=16|LINEWIDTH=1|STARTANGLE=90.000|ENDANGLE=119.745|COLOR=16711680\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=342|Y1=417|X2=358|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=350|Y1=420|X2=350|Y2=417\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=350|Y1=413|X2=350|Y2=410\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=346|Y1=423|X2=346|Y2=419\\x00\\x7f\\x00\\x00\\x00|RECORD=6|OWNERINDEX=210|ISNOTACCESIBLE=T|OWNERPARTID=1|LINEWIDTH=1|COLOR=16711680|LOCATIONCOUNT=2|X1=344|Y1=421|X2=348|Y2=421\\x00\\x9d\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=-1|LOCATION.X=-390|LOCATION.Y=432|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=True|ISHIDDEN=T|NAME=Fitted|UNIQUEID=QDVWMSGD\\x00\\x86\\x00\\x00\\x00|RECORD=34|OWNERINDEX=210|OWNERPARTID=-1|LOCATION.X=358|LOCATION.Y=414|COLOR=8388608|FONTID=1|TEXT=C?|NAME=Designator|READONLYSTATE=1\\x00\\x8b\\x00\\x00\\x00|RECORD=41|OWNERINDEX=210|OWNERPARTID=1|LOCATION.X=358|LOCATION.Y=405|COLOR=8388608|FONTID=1|TEXT=470uF 16V|NAME=Comment|UNIQUEID=AVOUMCUE\\x00\\x1a\\x00\\x00\\x00|RECORD=44|OWNERINDEX=210\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=3216 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-A|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-A|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=228\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=228\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=3528 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-B|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-B|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=231\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=231\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=6032 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-C|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-C|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=234\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=234\\x00\\x07\\x01\\x00\\x00|RECORD=45|OWNERINDEX=227|DESCRIPTION=7343 Polar Capacitor|USECOMPONENTLIBRARY=T|MODELNAME=MCCT-D|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILEENTITY0=MCCT-D|MODELDATAFILEKIND0=PCBLib|DATALINKSLOCKED=T|DATABASEDATALINKSLOCKED=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=237\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=237\\x00\\xe1\\x00\\x00\\x00|RECORD=45|OWNERINDEX=227|MODELNAME=RB8/3.5|MODELTYPE=PCBLIB|DATAFILECOUNT=1|MODELDATAFILE0=Altium Nanoboard Project.IntLib|MODELDATAFILEENTITY0=RB8/3.5|MODELDATAFILEKIND0=PCBLib|ISCURRENT=T|INTEGRATEDMODEL=T|DATABASEMODEL=T\\x00\\x1a\\x00\\x00\\x00|RECORD=46|OWNERINDEX=240\\x00\\x1a\\x00\\x00\\x00|RECORD=48|OWNERINDEX=240\\x00n\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=3|X1=250|Y1=390|X2=170|Y2=390|X3=170|Y3=380\\x00^\\x00\\x00\\x00|RECORD=27|OWNERPARTID=-1|LINEWIDTH=1|COLOR=8388608|LOCATIONCOUNT=2|X1=60|Y1=440|X2=90|Y2=440\\x00U\\x00\\x00\\x00|RECORD=25|OWNERPARTID=-1|LOCATION.X=60|LOCATION.Y=440|COLOR=128|FONTID=1|TEXT=DC_IN\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CurrentTime|READONLYSTATE=1|UNIQUEID=JGIAUYWE\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CurrentDate|READONLYSTATE=1|UNIQUEID=VOOCYGRH\\x00z\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Time|READONLYSTATE=1|UNIQUEID=JJTHUBPN\\x00z\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Date|READONLYSTATE=1|UNIQUEID=UGTNYKKT\\x00\\x8d\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DocumentFullPathAndName|READONLYSTATE=1|UNIQUEID=XCGWCEDY\\x00\\x82\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DocumentName|READONLYSTATE=1|UNIQUEID=EPNBYIXT\\x00\\x82\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=ModifiedDate|READONLYSTATE=1|UNIQUEID=TLBMKNIM\\x00\\x80\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=ApprovedBy|READONLYSTATE=1|UNIQUEID=TCRWJXBK\\x00\\x7f\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CheckedBy|READONLYSTATE=1|UNIQUEID=EPHPDLTI\\x00|\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Author|READONLYSTATE=1|UNIQUEID=UNYALHTL\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=CompanyName|READONLYSTATE=1|UNIQUEID=CXBKURXP\\x00}\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DrawnBy|READONLYSTATE=1|UNIQUEID=UTCYSCLV\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Engineer|READONLYSTATE=1|UNIQUEID=VAHJINYD\\x00\\x82\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Organization|READONLYSTATE=1|UNIQUEID=KKMINNNN\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address1|READONLYSTATE=1|UNIQUEID=NDSWRYQU\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address2|READONLYSTATE=1|UNIQUEID=RHKOFQPB\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address3|READONLYSTATE=1|UNIQUEID=HGVEFWLT\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Address4|READONLYSTATE=1|UNIQUEID=MQTQXOKH\\x00{\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Title|READONLYSTATE=1|UNIQUEID=WIVQYJFO\\x00\\x84\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=DocumentNumber|READONLYSTATE=1|UNIQUEID=DDFTQNOC\\x00~\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Revision|READONLYSTATE=1|UNIQUEID=CJKWJRKH\\x00\\x81\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=SheetNumber|READONLYSTATE=1|UNIQUEID=MFWYAGSB\\x00\\x80\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=SheetTotal|READONLYSTATE=1|UNIQUEID=ETXENRVW\\x00z\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=Rule|READONLYSTATE=1|UNIQUEID=TFMYMWJC\\x00\\x7f\\x00\\x00\\x00|RECORD=41|OWNERPARTID=-1|COLOR=8388608|FONTID=1|ISHIDDEN=T|TEXT=*|ISHIDDEN=T|NAME=ImagePath|READONLYSTATE=1|UNIQUEID=GJEEQUQG\\x00'\n" - ] - } - ], - "source": [ - "print(blah.listdir())\n", - "stream = blah.openstream('FileHeader')\n", - "\n", - "print(stream.read())" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "collapsed": true - }, - "outputs": [], - "source": [ - "schematicBinary = os.path.join(dirpath, \"FileHeader\")\n", - "\n", - "shutil.rmtree(dirpath)" - ] - } - ], - "metadata": { - "anaconda-cloud": {}, - "kernelspec": { - "display_name": "Python [Root]", - "language": "python", - "name": "Python [Root]" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.5.2" - } - }, - "nbformat": 4, - "nbformat_minor": 0 -}