tinyriscv/tests/isa/generated/rv32ui-p-jalr.verilog

25 lines
1.0 KiB
Plaintext
Raw Normal View History

@00000000
13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00
17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C
17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00
13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00
63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE
93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01
13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00
93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00
17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00
E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00
E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01
67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00
93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00
93 01 70 00 63 94 D2 01 63 18 30 00 13 0D 10 00
93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00
@00000140
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00