From 18de7f2e008d5b35ef1b68741b6e973207df6631 Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Sat, 10 Jul 2021 14:49:36 +0800 Subject: [PATCH] test: use csr_sstatus for test result Signed-off-by: liangkangnan --- rtl/core/csr_reg.sv | 22 + rtl/core/defines.sv | 2 + sdk/bsp/include/utils.h | 4 +- sim/tb_top_verilator.sv | 16 +- tests/isa/generated/rv32ui-p-add | Bin 7096 -> 7100 bytes tests/isa/generated/rv32ui-p-add.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-add.dump | 718 ++++++++-------- tests/isa/generated/rv32ui-p-add.mem | 14 +- tests/isa/generated/rv32ui-p-add.verilog | 154 ++-- tests/isa/generated/rv32ui-p-addi | Bin 6208 -> 6212 bytes tests/isa/generated/rv32ui-p-addi.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-addi.dump | 397 +++++---- tests/isa/generated/rv32ui-p-addi.mem | 14 +- tests/isa/generated/rv32ui-p-addi.verilog | 86 +- tests/isa/generated/rv32ui-p-and | Bin 6832 -> 6836 bytes tests/isa/generated/rv32ui-p-and.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-and.dump | 675 ++++++++------- tests/isa/generated/rv32ui-p-and.mem | 14 +- tests/isa/generated/rv32ui-p-and.verilog | 148 ++-- tests/isa/generated/rv32ui-p-andi | Bin 5752 -> 5756 bytes tests/isa/generated/rv32ui-p-andi.bin | Bin 648 -> 648 bytes tests/isa/generated/rv32ui-p-andi.dump | 275 ++++--- tests/isa/generated/rv32ui-p-andi.mem | 14 +- tests/isa/generated/rv32ui-p-andi.verilog | 58 +- tests/isa/generated/rv32ui-p-auipc | Bin 5044 -> 5048 bytes tests/isa/generated/rv32ui-p-auipc.bin | Bin 200 -> 200 bytes tests/isa/generated/rv32ui-p-auipc.dump | 34 +- tests/isa/generated/rv32ui-p-auipc.mem | 14 +- tests/isa/generated/rv32ui-p-auipc.verilog | 10 +- tests/isa/generated/rv32ui-p-beq | Bin 6176 -> 6180 bytes tests/isa/generated/rv32ui-p-beq.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-beq.dump | 403 +++++---- tests/isa/generated/rv32ui-p-beq.mem | 14 +- tests/isa/generated/rv32ui-p-beq.verilog | 90 +- tests/isa/generated/rv32ui-p-bge | Bin 6312 -> 6316 bytes tests/isa/generated/rv32ui-p-bge.bin | Bin 968 -> 968 bytes tests/isa/generated/rv32ui-p-bge.dump | 458 +++++------ tests/isa/generated/rv32ui-p-bge.mem | 14 +- tests/isa/generated/rv32ui-p-bge.verilog | 102 +-- tests/isa/generated/rv32ui-p-bgeu | Bin 6376 -> 6380 bytes tests/isa/generated/rv32ui-p-bgeu.bin | Bin 1032 -> 1032 bytes tests/isa/generated/rv32ui-p-bgeu.dump | 483 ++++++----- tests/isa/generated/rv32ui-p-bgeu.mem | 14 +- tests/isa/generated/rv32ui-p-bgeu.verilog | 108 +-- tests/isa/generated/rv32ui-p-blt | Bin 6176 -> 6180 bytes tests/isa/generated/rv32ui-p-blt.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-blt.dump | 403 +++++---- tests/isa/generated/rv32ui-p-blt.mem | 14 +- tests/isa/generated/rv32ui-p-blt.verilog | 90 +- tests/isa/generated/rv32ui-p-bltu | Bin 6240 -> 6244 bytes tests/isa/generated/rv32ui-p-bltu.bin | Bin 968 -> 968 bytes tests/isa/generated/rv32ui-p-bltu.dump | 431 +++++----- tests/isa/generated/rv32ui-p-bltu.mem | 14 +- tests/isa/generated/rv32ui-p-bltu.verilog | 98 +-- tests/isa/generated/rv32ui-p-bne | Bin 6176 -> 6180 bytes tests/isa/generated/rv32ui-p-bne.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-bne.dump | 405 +++++---- tests/isa/generated/rv32ui-p-bne.mem | 14 +- tests/isa/generated/rv32ui-p-bne.verilog | 90 +- tests/isa/generated/rv32ui-p-fence_i | Bin 9160 -> 9164 bytes tests/isa/generated/rv32ui-p-fence_i.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-fence_i.dump | 39 +- tests/isa/generated/rv32ui-p-fence_i.mem | 18 +- tests/isa/generated/rv32ui-p-fence_i.verilog | 12 +- tests/isa/generated/rv32ui-p-jal | Bin 5160 -> 5164 bytes tests/isa/generated/rv32ui-p-jal.bin | Bin 264 -> 264 bytes tests/isa/generated/rv32ui-p-jal.dump | 67 +- tests/isa/generated/rv32ui-p-jal.mem | 14 +- tests/isa/generated/rv32ui-p-jal.verilog | 16 +- tests/isa/generated/rv32ui-p-jalr | Bin 5360 -> 5364 bytes tests/isa/generated/rv32ui-p-jalr.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32ui-p-jalr.dump | 137 ++-- tests/isa/generated/rv32ui-p-jalr.mem | 14 +- tests/isa/generated/rv32ui-p-jalr.verilog | 32 +- tests/isa/generated/rv32ui-p-lb | Bin 9628 -> 9632 bytes tests/isa/generated/rv32ui-p-lb.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lb.dump | 355 ++++---- tests/isa/generated/rv32ui-p-lb.mem | 50 +- tests/isa/generated/rv32ui-p-lb.verilog | 78 +- tests/isa/generated/rv32ui-p-lbu | Bin 9628 -> 9632 bytes tests/isa/generated/rv32ui-p-lbu.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lbu.dump | 355 ++++---- tests/isa/generated/rv32ui-p-lbu.mem | 50 +- tests/isa/generated/rv32ui-p-lbu.verilog | 78 +- tests/isa/generated/rv32ui-p-lh | Bin 9628 -> 9632 bytes tests/isa/generated/rv32ui-p-lh.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lh.dump | 372 ++++----- tests/isa/generated/rv32ui-p-lh.mem | 50 +- tests/isa/generated/rv32ui-p-lh.verilog | 84 +- tests/isa/generated/rv32ui-p-lhu | Bin 9628 -> 9632 bytes tests/isa/generated/rv32ui-p-lhu.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lhu.dump | 381 +++++---- tests/isa/generated/rv32ui-p-lhu.mem | 50 +- tests/isa/generated/rv32ui-p-lhu.verilog | 84 +- tests/isa/generated/rv32ui-p-lui | Bin 5180 -> 5184 bytes tests/isa/generated/rv32ui-p-lui.bin | Bin 264 -> 264 bytes tests/isa/generated/rv32ui-p-lui.dump | 88 +- tests/isa/generated/rv32ui-p-lui.mem | 14 +- tests/isa/generated/rv32ui-p-lui.verilog | 16 +- tests/isa/generated/rv32ui-p-lw | Bin 9628 -> 9632 bytes tests/isa/generated/rv32ui-p-lw.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lw.dump | 387 +++++---- tests/isa/generated/rv32ui-p-lw.mem | 50 +- tests/isa/generated/rv32ui-p-lw.verilog | 86 +- tests/isa/generated/rv32ui-p-or | Bin 6832 -> 6836 bytes tests/isa/generated/rv32ui-p-or.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-or.dump | 681 ++++++++------- tests/isa/generated/rv32ui-p-or.mem | 14 +- tests/isa/generated/rv32ui-p-or.verilog | 150 ++-- tests/isa/generated/rv32ui-p-ori | Bin 5752 -> 5756 bytes tests/isa/generated/rv32ui-p-ori.bin | Bin 648 -> 648 bytes tests/isa/generated/rv32ui-p-ori.dump | 289 ++++--- tests/isa/generated/rv32ui-p-ori.mem | 14 +- tests/isa/generated/rv32ui-p-ori.verilog | 64 +- tests/isa/generated/rv32ui-p-sb | Bin 9856 -> 9860 bytes tests/isa/generated/rv32ui-p-sb.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-sb.dump | 571 +++++++------ tests/isa/generated/rv32ui-p-sb.mem | 62 +- tests/isa/generated/rv32ui-p-sb.verilog | 132 +-- tests/isa/generated/rv32ui-p-sh | Bin 9856 -> 9860 bytes tests/isa/generated/rv32ui-p-sh.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-sh.dump | 637 +++++++------- tests/isa/generated/rv32ui-p-sh.mem | 62 +- tests/isa/generated/rv32ui-p-sh.verilog | 148 ++-- tests/isa/generated/rv32ui-p-simple | Bin 4940 -> 4944 bytes tests/isa/generated/rv32ui-p-simple.bin | Bin 200 -> 200 bytes tests/isa/generated/rv32ui-p-simple.dump | 18 +- tests/isa/generated/rv32ui-p-simple.mem | 8 +- tests/isa/generated/rv32ui-p-simple.verilog | 4 +- tests/isa/generated/rv32ui-p-sll | Bin 7320 -> 7324 bytes tests/isa/generated/rv32ui-p-sll.bin | Bin 1544 -> 1544 bytes tests/isa/generated/rv32ui-p-sll.dump | 781 +++++++++--------- tests/isa/generated/rv32ui-p-sll.mem | 14 +- tests/isa/generated/rv32ui-p-sll.verilog | 170 ++-- tests/isa/generated/rv32ui-p-slli | Bin 6208 -> 6212 bytes tests/isa/generated/rv32ui-p-slli.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-slli.dump | 395 +++++---- tests/isa/generated/rv32ui-p-slli.mem | 14 +- tests/isa/generated/rv32ui-p-slli.verilog | 86 +- tests/isa/generated/rv32ui-p-slt | Bin 7096 -> 7100 bytes tests/isa/generated/rv32ui-p-slt.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-slt.dump | 705 ++++++++-------- tests/isa/generated/rv32ui-p-slt.mem | 14 +- tests/isa/generated/rv32ui-p-slt.verilog | 150 ++-- tests/isa/generated/rv32ui-p-slti | Bin 6208 -> 6212 bytes tests/isa/generated/rv32ui-p-slti.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-slti.dump | 387 +++++---- tests/isa/generated/rv32ui-p-slti.mem | 14 +- tests/isa/generated/rv32ui-p-slti.verilog | 84 +- tests/isa/generated/rv32ui-p-sltiu | Bin 6208 -> 6212 bytes tests/isa/generated/rv32ui-p-sltiu.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-sltiu.dump | 387 +++++---- tests/isa/generated/rv32ui-p-sltiu.mem | 14 +- tests/isa/generated/rv32ui-p-sltiu.verilog | 84 +- tests/isa/generated/rv32ui-p-sltu | Bin 7096 -> 7100 bytes tests/isa/generated/rv32ui-p-sltu.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-sltu.dump | 705 ++++++++-------- tests/isa/generated/rv32ui-p-sltu.mem | 14 +- tests/isa/generated/rv32ui-p-sltu.verilog | 150 ++-- tests/isa/generated/rv32ui-p-sra | Bin 7408 -> 7412 bytes tests/isa/generated/rv32ui-p-sra.bin | Bin 1608 -> 1608 bytes tests/isa/generated/rv32ui-p-sra.dump | 821 +++++++++---------- tests/isa/generated/rv32ui-p-sra.mem | 14 +- tests/isa/generated/rv32ui-p-sra.verilog | 176 ++-- tests/isa/generated/rv32ui-p-srai | Bin 6272 -> 6276 bytes tests/isa/generated/rv32ui-p-srai.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-srai.dump | 421 +++++----- tests/isa/generated/rv32ui-p-srai.mem | 14 +- tests/isa/generated/rv32ui-p-srai.verilog | 92 +-- tests/isa/generated/rv32ui-p-srl | Bin 7408 -> 7412 bytes tests/isa/generated/rv32ui-p-srl.bin | Bin 1608 -> 1608 bytes tests/isa/generated/rv32ui-p-srl.dump | 809 +++++++++--------- tests/isa/generated/rv32ui-p-srl.mem | 14 +- tests/isa/generated/rv32ui-p-srl.verilog | 176 ++-- tests/isa/generated/rv32ui-p-srli | Bin 6208 -> 6212 bytes tests/isa/generated/rv32ui-p-srli.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-srli.dump | 410 +++++---- tests/isa/generated/rv32ui-p-srli.mem | 14 +- tests/isa/generated/rv32ui-p-srli.verilog | 86 +- tests/isa/generated/rv32ui-p-sub | Bin 7072 -> 7076 bytes tests/isa/generated/rv32ui-p-sub.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-sub.dump | 699 ++++++++-------- tests/isa/generated/rv32ui-p-sub.mem | 14 +- tests/isa/generated/rv32ui-p-sub.verilog | 150 ++-- tests/isa/generated/rv32ui-p-sw | Bin 9856 -> 9860 bytes tests/isa/generated/rv32ui-p-sw.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-sw.dump | 643 ++++++++------- tests/isa/generated/rv32ui-p-sw.mem | 60 +- tests/isa/generated/rv32ui-p-sw.verilog | 150 ++-- tests/isa/generated/rv32ui-p-xor | Bin 6832 -> 6836 bytes tests/isa/generated/rv32ui-p-xor.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-xor.dump | 679 ++++++++------- tests/isa/generated/rv32ui-p-xor.mem | 14 +- tests/isa/generated/rv32ui-p-xor.verilog | 150 ++-- tests/isa/generated/rv32ui-p-xori | Bin 5752 -> 5756 bytes tests/isa/generated/rv32ui-p-xori.bin | Bin 648 -> 648 bytes tests/isa/generated/rv32ui-p-xori.dump | 294 +++---- tests/isa/generated/rv32ui-p-xori.mem | 14 +- tests/isa/generated/rv32ui-p-xori.verilog | 64 +- tests/isa/generated/rv32um-p-div | Bin 5404 -> 5408 bytes tests/isa/generated/rv32um-p-div.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-div.dump | 160 ++-- tests/isa/generated/rv32um-p-div.mem | 14 +- tests/isa/generated/rv32um-p-div.verilog | 32 +- tests/isa/generated/rv32um-p-divu | Bin 5404 -> 5408 bytes tests/isa/generated/rv32um-p-divu.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-divu.dump | 163 ++-- tests/isa/generated/rv32um-p-divu.mem | 14 +- tests/isa/generated/rv32um-p-divu.verilog | 32 +- tests/isa/generated/rv32um-p-mul | Bin 7076 -> 7080 bytes tests/isa/generated/rv32um-p-mul.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mul.dump | 706 ++++++++-------- tests/isa/generated/rv32um-p-mul.mem | 14 +- tests/isa/generated/rv32um-p-mul.verilog | 148 ++-- tests/isa/generated/rv32um-p-mulh | Bin 7028 -> 7032 bytes tests/isa/generated/rv32um-p-mulh.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mulh.dump | 702 ++++++++-------- tests/isa/generated/rv32um-p-mulh.mem | 14 +- tests/isa/generated/rv32um-p-mulh.verilog | 150 ++-- tests/isa/generated/rv32um-p-mulhsu | Bin 7028 -> 7032 bytes tests/isa/generated/rv32um-p-mulhsu.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mulhsu.dump | 702 ++++++++-------- tests/isa/generated/rv32um-p-mulhsu.mem | 14 +- tests/isa/generated/rv32um-p-mulhsu.verilog | 150 ++-- tests/isa/generated/rv32um-p-mulhu | Bin 7028 -> 7032 bytes tests/isa/generated/rv32um-p-mulhu.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mulhu.dump | 702 ++++++++-------- tests/isa/generated/rv32um-p-mulhu.mem | 14 +- tests/isa/generated/rv32um-p-mulhu.verilog | 150 ++-- tests/isa/generated/rv32um-p-rem | Bin 5404 -> 5408 bytes tests/isa/generated/rv32um-p-rem.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-rem.dump | 160 ++-- tests/isa/generated/rv32um-p-rem.mem | 14 +- tests/isa/generated/rv32um-p-rem.verilog | 32 +- tests/isa/generated/rv32um-p-remu | Bin 5404 -> 5408 bytes tests/isa/generated/rv32um-p-remu.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-remu.dump | 160 ++-- tests/isa/generated/rv32um-p-remu.mem | 14 +- tests/isa/generated/rv32um-p-remu.verilog | 32 +- tests/isa/riscv_test.h | 315 ++++--- 240 files changed, 13238 insertions(+), 13261 deletions(-) diff --git a/rtl/core/csr_reg.sv b/rtl/core/csr_reg.sv index 0f0df79..0dbf6bf 100644 --- a/rtl/core/csr_reg.sv +++ b/rtl/core/csr_reg.sv @@ -55,6 +55,11 @@ module csr_reg( wire[31:0] misa = 32'h40001100; // 32bits, IM + // for verification result + reg[31:0] sstatus_d; + wire[31:0] sstatus_q; + reg sstatus_we; + reg[31:0] mtvec_d; wire[31:0] mtvec_q; reg mtvec_we; @@ -215,6 +220,8 @@ module csr_reg( dpc_we = 1'b0; dcsr_d = dcsr_q; dcsr_we = 1'b0; + sstatus_d = sstatus_q; + sstatus_we = 1'b0; if (we) begin case (waddr[11:0]) @@ -254,6 +261,10 @@ module csr_reg( mhartid_d = wdata; mhartid_we = 1'b1; end + `CSR_SSTATUS: begin + sstatus_d = wdata; + sstatus_we = 1'b1; + end `CSR_DPC: begin dpc_d = wdata; dpc_we = 1'b1; @@ -459,6 +470,17 @@ module csr_reg( .rdata_o(tselect_q) ); + // sstatus + csr #( + .RESET_VAL(32'h0) + ) sstatus_csr ( + .clk(clk), + .rst_n(rst_n), + .wdata_i(sstatus_d), + .we_i(sstatus_we), + .rdata_o(sstatus_q) + ); + for (genvar i = 0; i < HwBpNum; i = i + 1) begin : dbg_tmatch_reg // tdata1 csr #( diff --git a/rtl/core/defines.sv b/rtl/core/defines.sv index 8262576..953e2c6 100644 --- a/rtl/core/defines.sv +++ b/rtl/core/defines.sv @@ -144,6 +144,8 @@ `define CSR_MSCRATCH 12'h340 `define CSR_MHARTID 12'hF14 `define CSR_MISA 12'h301 +// only used for verification +`define CSR_SSTATUS 12'h100 // Debug `define CSR_DCSR 12'h7b0 `define CSR_DPC 12'h7b1 diff --git a/sdk/bsp/include/utils.h b/sdk/bsp/include/utils.h index dee4799..de07e32 100644 --- a/sdk/bsp/include/utils.h +++ b/sdk/bsp/include/utils.h @@ -17,8 +17,8 @@ #ifdef SIMULATION -#define set_test_pass() asm("li x27, 0x01") -#define set_test_fail() asm("li x27, 0x00") +#define set_test_pass() asm("csrrwi x0, sstatus, 0x3") +#define set_test_fail() asm("csrrwi x0, sstatus, 0x1") #endif diff --git a/sim/tb_top_verilator.sv b/sim/tb_top_verilator.sv index 8e9816c..2a56b02 100644 --- a/sim/tb_top_verilator.sv +++ b/sim/tb_top_verilator.sv @@ -29,10 +29,12 @@ module tb_top_verilator #( wire halted; - wire[31:0] x3 = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[3]; - wire[31:0] x26 = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[26]; - wire[31:0] x27 = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[27]; - + // ISA、自定义程序测试 + wire[31:0] fail_num = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[3]; + wire[31:0] sim_result = u_tinyriscv_soc_top.u_tinyriscv_core.u_csr_reg.sstatus_q; + wire sim_end = sim_result[0]; + wire sim_succ = sim_result[1]; + // riscv compliance测试 wire[31:0] end_flag = u_tinyriscv_soc_top.u_ram.u_gen_ram.ram[4]; wire[31:0] begin_signature = u_tinyriscv_soc_top.u_ram.u_gen_ram.ram[2]; wire[31:0] end_signature = u_tinyriscv_soc_top.u_ram.u_gen_ram.ram[3]; @@ -67,8 +69,8 @@ module tb_top_verilator #( $finish; end `else - if (x26 == 32'b1) begin - if (x27 == 32'b1) begin + if (sim_end == 1'b1) begin + if (sim_succ == 1'b1) begin $display("~~~~~~~~~~~~~~~~~~~ TEST_PASS ~~~~~~~~~~~~~~~~~~~"); $display("~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~"); $display("~~~~~~~~~ ##### ## #### #### ~~~~~~~~~"); @@ -89,7 +91,7 @@ module tb_top_verilator #( $display("~~~~~~~~~~# # # # ######~~~~~~~~~~"); $display("~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~"); `ifdef TEST_ISA - $display("fail testnum = %2d", x3); + $display("fail testnum = %2d", fail_num); `endif end result_printed <= 1'b1; diff --git a/tests/isa/generated/rv32ui-p-add b/tests/isa/generated/rv32ui-p-add index d8159fdb7d0214c52860050f5253fb7e8a6fa616..0ed253e62ba67457fb5b657b91201541fcc468bf 100755 GIT binary patch delta 767 zcmYk&KS%;$7zXh7vn!;84*Dl)k`&ZXbXlZO5rJcafn!5jLqeov6osHeV}o)*4K9I$ zLrdt;;LzaE;LzY$)X?By(BRO}(BnztoPiEV*+mY5=kO@OZ%&^Cb+itKPm`#A7}T1=1RJI;CV@ ziM;;}l(g@1|89$tQRGFk7kPs`jl4^qLr#&GkT1!rB55hpAc$Niw;%pR4kJ79lAThr zg%BXO4-b*|;XU#ZoFS)hzT|0ejtk1<3%EwUgx|<{*kMDnN=X6h3i%dJkjwBjxq|bh zN`orgBG=&YE;Or@^>;$#XUsF?ceqGy!gX>B)-*J$l%&5A3>s+ox^1!p&XNb=0@)4U zlPBRv@-o~c&%lj;_s9|kpXRCapy%Yw2!^Aj59gXqdCXbYgesbwt|`?pPtl|1jceow DraVhc delta 778 zcmYk)JxBs^7zXh7Z)Z3p3KsYgq$Jo7q!ubvWY8oH4YoxfIV4DhiBn>OTMfxMG&ndo zG`NHf4vr2D4h;g_c z+SCq2?>|L}8#zYyAs>)ekWa~L$k*fu@;!N5q$F)B_K^GJedG~2iM)uLoQ#qqge`I! zPLi{5mYj#nQt0*LYsUGzmTi&fLw#^CM?D%X<#2GH{m?_39gYlxV}77(S?7> zJ=i~k#TX^8*eA(vaG5-So8&LJPaeV+4T~{KO5@kNL4}5=OOxl|DtR7$Adf#shwO)Y zo|OoSkzRD3&E^uJU@X!4aqgIuTMv1bRYO1Y1k^w;dIIKS{C#n>jz2kB BN<9Do diff --git a/tests/isa/generated/rv32ui-p-add.bin b/tests/isa/generated/rv32ui-p-add.bin index fac5ac82c34d76ddf01b13e3f549d970f17e6ec5..ac88be512371d29b607b3c7825f508271e249116 100755 GIT binary patch delta 38 scmeC+?%-xA4qy=2$Z?lNK+J%l_yU7KJ_7@gW)#Su%*fh4S%6gl0J^FPO8@`> delta 45 rcmeC+?%?JS=4D`*48$8*?y`tW7=YQryaEjQ3?Oj<1`vDl2bLZHvbzWt diff --git a/tests/isa/generated/rv32ui-p-add.dump b/tests/isa/generated/rv32ui-p-add.dump index d37fe4f..638e29f 100644 --- a/tests/isa/generated/rv32ui-p-add.dump +++ b/tests/isa/generated/rv32ui-p-add.dump @@ -5,409 +5,405 @@ generated/rv32ui-p-add: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 00208f33 add t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4ddf1663 bne t5,t4,4e8 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 00208f33 add t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4ddf1663 bne t5,t4,4e4 -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 00208f33 add t5,ra,sp - 2c: 00200e93 li t4,2 - 30: 00300193 li gp,3 - 34: 4bdf1a63 bne t5,t4,4e8 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 00208f33 add t5,ra,sp + 28: 00200e93 li t4,2 + 2c: 00300193 li gp,3 + 30: 4bdf1a63 bne t5,t4,4e4 -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 00208f33 add t5,ra,sp - 44: 00a00e93 li t4,10 - 48: 00400193 li gp,4 - 4c: 49df1e63 bne t5,t4,4e8 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 00208f33 add t5,ra,sp + 40: 00a00e93 li t4,10 + 44: 00400193 li gp,4 + 48: 49df1e63 bne t5,t4,4e4 -00000050 : - 50: 00000093 li ra,0 - 54: ffff8137 lui sp,0xffff8 - 58: 00208f33 add t5,ra,sp - 5c: ffff8eb7 lui t4,0xffff8 - 60: 00500193 li gp,5 - 64: 49df1263 bne t5,t4,4e8 +0000004c : + 4c: 00000093 li ra,0 + 50: ffff8137 lui sp,0xffff8 + 54: 00208f33 add t5,ra,sp + 58: ffff8eb7 lui t4,0xffff8 + 5c: 00500193 li gp,5 + 60: 49df1263 bne t5,t4,4e4 -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00000113 li sp,0 - 70: 00208f33 add t5,ra,sp - 74: 80000eb7 lui t4,0x80000 - 78: 00600193 li gp,6 - 7c: 47df1663 bne t5,t4,4e8 +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00000113 li sp,0 + 6c: 00208f33 add t5,ra,sp + 70: 80000eb7 lui t4,0x80000 + 74: 00600193 li gp,6 + 78: 47df1663 bne t5,t4,4e4 -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: ffff8137 lui sp,0xffff8 - 88: 00208f33 add t5,ra,sp - 8c: 7fff8eb7 lui t4,0x7fff8 - 90: 00700193 li gp,7 - 94: 45df1a63 bne t5,t4,4e8 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: ffff8137 lui sp,0xffff8 + 84: 00208f33 add t5,ra,sp + 88: 7fff8eb7 lui t4,0x7fff8 + 8c: 00700193 li gp,7 + 90: 45df1a63 bne t5,t4,4e4 -00000098 : - 98: 00000093 li ra,0 - 9c: 00008137 lui sp,0x8 - a0: fff10113 addi sp,sp,-1 # 7fff - a4: 00208f33 add t5,ra,sp - a8: 00008eb7 lui t4,0x8 - ac: fffe8e93 addi t4,t4,-1 # 7fff - b0: 00800193 li gp,8 - b4: 43df1a63 bne t5,t4,4e8 +00000094 : + 94: 00000093 li ra,0 + 98: 00008137 lui sp,0x8 + 9c: fff10113 addi sp,sp,-1 # 7fff + a0: 00208f33 add t5,ra,sp + a4: 00008eb7 lui t4,0x8 + a8: fffe8e93 addi t4,t4,-1 # 7fff + ac: 00800193 li gp,8 + b0: 43df1a63 bne t5,t4,4e4 -000000b8 : - b8: 800000b7 lui ra,0x80000 - bc: fff08093 addi ra,ra,-1 # 7fffffff - c0: 00000113 li sp,0 - c4: 00208f33 add t5,ra,sp - c8: 80000eb7 lui t4,0x80000 - cc: fffe8e93 addi t4,t4,-1 # 7fffffff - d0: 00900193 li gp,9 - d4: 41df1a63 bne t5,t4,4e8 +000000b4 : + b4: 800000b7 lui ra,0x80000 + b8: fff08093 addi ra,ra,-1 # 7fffffff + bc: 00000113 li sp,0 + c0: 00208f33 add t5,ra,sp + c4: 80000eb7 lui t4,0x80000 + c8: fffe8e93 addi t4,t4,-1 # 7fffffff + cc: 00900193 li gp,9 + d0: 41df1a63 bne t5,t4,4e4 -000000d8 : - d8: 800000b7 lui ra,0x80000 - dc: fff08093 addi ra,ra,-1 # 7fffffff - e0: 00008137 lui sp,0x8 - e4: fff10113 addi sp,sp,-1 # 7fff - e8: 00208f33 add t5,ra,sp - ec: 80008eb7 lui t4,0x80008 - f0: ffee8e93 addi t4,t4,-2 # 80007ffe - f4: 00a00193 li gp,10 - f8: 3fdf1863 bne t5,t4,4e8 +000000d4 : + d4: 800000b7 lui ra,0x80000 + d8: fff08093 addi ra,ra,-1 # 7fffffff + dc: 00008137 lui sp,0x8 + e0: fff10113 addi sp,sp,-1 # 7fff + e4: 00208f33 add t5,ra,sp + e8: 80008eb7 lui t4,0x80008 + ec: ffee8e93 addi t4,t4,-2 # 80007ffe + f0: 00a00193 li gp,10 + f4: 3fdf1863 bne t5,t4,4e4 -000000fc : - fc: 800000b7 lui ra,0x80000 - 100: 00008137 lui sp,0x8 - 104: fff10113 addi sp,sp,-1 # 7fff - 108: 00208f33 add t5,ra,sp - 10c: 80008eb7 lui t4,0x80008 - 110: fffe8e93 addi t4,t4,-1 # 80007fff - 114: 00b00193 li gp,11 - 118: 3ddf1863 bne t5,t4,4e8 +000000f8 : + f8: 800000b7 lui ra,0x80000 + fc: 00008137 lui sp,0x8 + 100: fff10113 addi sp,sp,-1 # 7fff + 104: 00208f33 add t5,ra,sp + 108: 80008eb7 lui t4,0x80008 + 10c: fffe8e93 addi t4,t4,-1 # 80007fff + 110: 00b00193 li gp,11 + 114: 3ddf1863 bne t5,t4,4e4 -0000011c : - 11c: 800000b7 lui ra,0x80000 - 120: fff08093 addi ra,ra,-1 # 7fffffff - 124: ffff8137 lui sp,0xffff8 - 128: 00208f33 add t5,ra,sp - 12c: 7fff8eb7 lui t4,0x7fff8 - 130: fffe8e93 addi t4,t4,-1 # 7fff7fff - 134: 00c00193 li gp,12 - 138: 3bdf1863 bne t5,t4,4e8 +00000118 : + 118: 800000b7 lui ra,0x80000 + 11c: fff08093 addi ra,ra,-1 # 7fffffff + 120: ffff8137 lui sp,0xffff8 + 124: 00208f33 add t5,ra,sp + 128: 7fff8eb7 lui t4,0x7fff8 + 12c: fffe8e93 addi t4,t4,-1 # 7fff7fff + 130: 00c00193 li gp,12 + 134: 3bdf1863 bne t5,t4,4e4 -0000013c : - 13c: 00000093 li ra,0 - 140: fff00113 li sp,-1 - 144: 00208f33 add t5,ra,sp - 148: fff00e93 li t4,-1 - 14c: 00d00193 li gp,13 - 150: 39df1c63 bne t5,t4,4e8 +00000138 : + 138: 00000093 li ra,0 + 13c: fff00113 li sp,-1 + 140: 00208f33 add t5,ra,sp + 144: fff00e93 li t4,-1 + 148: 00d00193 li gp,13 + 14c: 39df1c63 bne t5,t4,4e4 -00000154 : - 154: fff00093 li ra,-1 - 158: 00100113 li sp,1 - 15c: 00208f33 add t5,ra,sp - 160: 00000e93 li t4,0 - 164: 00e00193 li gp,14 - 168: 39df1063 bne t5,t4,4e8 +00000150 : + 150: fff00093 li ra,-1 + 154: 00100113 li sp,1 + 158: 00208f33 add t5,ra,sp + 15c: 00000e93 li t4,0 + 160: 00e00193 li gp,14 + 164: 39df1063 bne t5,t4,4e4 -0000016c : - 16c: fff00093 li ra,-1 - 170: fff00113 li sp,-1 - 174: 00208f33 add t5,ra,sp - 178: ffe00e93 li t4,-2 - 17c: 00f00193 li gp,15 - 180: 37df1463 bne t5,t4,4e8 +00000168 : + 168: fff00093 li ra,-1 + 16c: fff00113 li sp,-1 + 170: 00208f33 add t5,ra,sp + 174: ffe00e93 li t4,-2 + 178: 00f00193 li gp,15 + 17c: 37df1463 bne t5,t4,4e4 -00000184 : - 184: 00100093 li ra,1 - 188: 80000137 lui sp,0x80000 - 18c: fff10113 addi sp,sp,-1 # 7fffffff - 190: 00208f33 add t5,ra,sp - 194: 80000eb7 lui t4,0x80000 - 198: 01000193 li gp,16 - 19c: 35df1663 bne t5,t4,4e8 +00000180 : + 180: 00100093 li ra,1 + 184: 80000137 lui sp,0x80000 + 188: fff10113 addi sp,sp,-1 # 7fffffff + 18c: 00208f33 add t5,ra,sp + 190: 80000eb7 lui t4,0x80000 + 194: 01000193 li gp,16 + 198: 35df1663 bne t5,t4,4e4 -000001a0 : - 1a0: 00d00093 li ra,13 - 1a4: 00b00113 li sp,11 - 1a8: 002080b3 add ra,ra,sp - 1ac: 01800e93 li t4,24 - 1b0: 01100193 li gp,17 - 1b4: 33d09a63 bne ra,t4,4e8 +0000019c : + 19c: 00d00093 li ra,13 + 1a0: 00b00113 li sp,11 + 1a4: 002080b3 add ra,ra,sp + 1a8: 01800e93 li t4,24 + 1ac: 01100193 li gp,17 + 1b0: 33d09a63 bne ra,t4,4e4 -000001b8 : - 1b8: 00e00093 li ra,14 - 1bc: 00b00113 li sp,11 - 1c0: 00208133 add sp,ra,sp - 1c4: 01900e93 li t4,25 - 1c8: 01200193 li gp,18 - 1cc: 31d11e63 bne sp,t4,4e8 +000001b4 : + 1b4: 00e00093 li ra,14 + 1b8: 00b00113 li sp,11 + 1bc: 00208133 add sp,ra,sp + 1c0: 01900e93 li t4,25 + 1c4: 01200193 li gp,18 + 1c8: 31d11e63 bne sp,t4,4e4 -000001d0 : - 1d0: 00d00093 li ra,13 - 1d4: 001080b3 add ra,ra,ra - 1d8: 01a00e93 li t4,26 - 1dc: 01300193 li gp,19 - 1e0: 31d09463 bne ra,t4,4e8 +000001cc : + 1cc: 00d00093 li ra,13 + 1d0: 001080b3 add ra,ra,ra + 1d4: 01a00e93 li t4,26 + 1d8: 01300193 li gp,19 + 1dc: 31d09463 bne ra,t4,4e4 -000001e4 : - 1e4: 00000213 li tp,0 - 1e8: 00d00093 li ra,13 - 1ec: 00b00113 li sp,11 - 1f0: 00208f33 add t5,ra,sp - 1f4: 000f0313 mv t1,t5 - 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1fc: 00200293 li t0,2 - 200: fe5214e3 bne tp,t0,1e8 - 204: 01800e93 li t4,24 - 208: 01400193 li gp,20 - 20c: 2dd31e63 bne t1,t4,4e8 +000001e0 : + 1e0: 00000213 li tp,0 + 1e4: 00d00093 li ra,13 + 1e8: 00b00113 li sp,11 + 1ec: 00208f33 add t5,ra,sp + 1f0: 000f0313 mv t1,t5 + 1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f8: 00200293 li t0,2 + 1fc: fe5214e3 bne tp,t0,1e4 + 200: 01800e93 li t4,24 + 204: 01400193 li gp,20 + 208: 2dd31e63 bne t1,t4,4e4 -00000210 : - 210: 00000213 li tp,0 - 214: 00e00093 li ra,14 - 218: 00b00113 li sp,11 - 21c: 00208f33 add t5,ra,sp - 220: 00000013 nop - 224: 000f0313 mv t1,t5 - 228: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 22c: 00200293 li t0,2 - 230: fe5212e3 bne tp,t0,214 - 234: 01900e93 li t4,25 - 238: 01500193 li gp,21 - 23c: 2bd31663 bne t1,t4,4e8 +0000020c : + 20c: 00000213 li tp,0 + 210: 00e00093 li ra,14 + 214: 00b00113 li sp,11 + 218: 00208f33 add t5,ra,sp + 21c: 00000013 nop + 220: 000f0313 mv t1,t5 + 224: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 228: 00200293 li t0,2 + 22c: fe5212e3 bne tp,t0,210 + 230: 01900e93 li t4,25 + 234: 01500193 li gp,21 + 238: 2bd31663 bne t1,t4,4e4 -00000240 : - 240: 00000213 li tp,0 - 244: 00f00093 li ra,15 - 248: 00b00113 li sp,11 - 24c: 00208f33 add t5,ra,sp +0000023c : + 23c: 00000213 li tp,0 + 240: 00f00093 li ra,15 + 244: 00b00113 li sp,11 + 248: 00208f33 add t5,ra,sp + 24c: 00000013 nop 250: 00000013 nop - 254: 00000013 nop - 258: 000f0313 mv t1,t5 - 25c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 260: 00200293 li t0,2 - 264: fe5210e3 bne tp,t0,244 - 268: 01a00e93 li t4,26 - 26c: 01600193 li gp,22 - 270: 27d31c63 bne t1,t4,4e8 + 254: 000f0313 mv t1,t5 + 258: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 25c: 00200293 li t0,2 + 260: fe5210e3 bne tp,t0,240 + 264: 01a00e93 li t4,26 + 268: 01600193 li gp,22 + 26c: 27d31c63 bne t1,t4,4e4 -00000274 : - 274: 00000213 li tp,0 - 278: 00d00093 li ra,13 - 27c: 00b00113 li sp,11 - 280: 00208f33 add t5,ra,sp - 284: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 288: 00200293 li t0,2 - 28c: fe5216e3 bne tp,t0,278 - 290: 01800e93 li t4,24 - 294: 01700193 li gp,23 - 298: 25df1863 bne t5,t4,4e8 +00000270 : + 270: 00000213 li tp,0 + 274: 00d00093 li ra,13 + 278: 00b00113 li sp,11 + 27c: 00208f33 add t5,ra,sp + 280: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 284: 00200293 li t0,2 + 288: fe5216e3 bne tp,t0,274 + 28c: 01800e93 li t4,24 + 290: 01700193 li gp,23 + 294: 25df1863 bne t5,t4,4e4 -0000029c : - 29c: 00000213 li tp,0 - 2a0: 00e00093 li ra,14 - 2a4: 00b00113 li sp,11 - 2a8: 00000013 nop - 2ac: 00208f33 add t5,ra,sp - 2b0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2b4: 00200293 li t0,2 - 2b8: fe5214e3 bne tp,t0,2a0 - 2bc: 01900e93 li t4,25 - 2c0: 01800193 li gp,24 - 2c4: 23df1263 bne t5,t4,4e8 +00000298 : + 298: 00000213 li tp,0 + 29c: 00e00093 li ra,14 + 2a0: 00b00113 li sp,11 + 2a4: 00000013 nop + 2a8: 00208f33 add t5,ra,sp + 2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2b0: 00200293 li t0,2 + 2b4: fe5214e3 bne tp,t0,29c + 2b8: 01900e93 li t4,25 + 2bc: 01800193 li gp,24 + 2c0: 23df1263 bne t5,t4,4e4 -000002c8 : - 2c8: 00000213 li tp,0 - 2cc: 00f00093 li ra,15 - 2d0: 00b00113 li sp,11 +000002c4 : + 2c4: 00000213 li tp,0 + 2c8: 00f00093 li ra,15 + 2cc: 00b00113 li sp,11 + 2d0: 00000013 nop 2d4: 00000013 nop - 2d8: 00000013 nop - 2dc: 00208f33 add t5,ra,sp - 2e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2e4: 00200293 li t0,2 - 2e8: fe5212e3 bne tp,t0,2cc - 2ec: 01a00e93 li t4,26 - 2f0: 01900193 li gp,25 - 2f4: 1fdf1a63 bne t5,t4,4e8 + 2d8: 00208f33 add t5,ra,sp + 2dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2e0: 00200293 li t0,2 + 2e4: fe5212e3 bne tp,t0,2c8 + 2e8: 01a00e93 li t4,26 + 2ec: 01900193 li gp,25 + 2f0: 1fdf1a63 bne t5,t4,4e4 -000002f8 : - 2f8: 00000213 li tp,0 - 2fc: 00d00093 li ra,13 - 300: 00000013 nop - 304: 00b00113 li sp,11 - 308: 00208f33 add t5,ra,sp - 30c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 310: 00200293 li t0,2 - 314: fe5214e3 bne tp,t0,2fc - 318: 01800e93 li t4,24 - 31c: 01a00193 li gp,26 - 320: 1ddf1463 bne t5,t4,4e8 +000002f4 : + 2f4: 00000213 li tp,0 + 2f8: 00d00093 li ra,13 + 2fc: 00000013 nop + 300: 00b00113 li sp,11 + 304: 00208f33 add t5,ra,sp + 308: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 30c: 00200293 li t0,2 + 310: fe5214e3 bne tp,t0,2f8 + 314: 01800e93 li t4,24 + 318: 01a00193 li gp,26 + 31c: 1ddf1463 bne t5,t4,4e4 -00000324 : - 324: 00000213 li tp,0 - 328: 00e00093 li ra,14 - 32c: 00000013 nop - 330: 00b00113 li sp,11 - 334: 00000013 nop - 338: 00208f33 add t5,ra,sp - 33c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 340: 00200293 li t0,2 - 344: fe5212e3 bne tp,t0,328 - 348: 01900e93 li t4,25 - 34c: 01b00193 li gp,27 - 350: 19df1c63 bne t5,t4,4e8 +00000320 : + 320: 00000213 li tp,0 + 324: 00e00093 li ra,14 + 328: 00000013 nop + 32c: 00b00113 li sp,11 + 330: 00000013 nop + 334: 00208f33 add t5,ra,sp + 338: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 33c: 00200293 li t0,2 + 340: fe5212e3 bne tp,t0,324 + 344: 01900e93 li t4,25 + 348: 01b00193 li gp,27 + 34c: 19df1c63 bne t5,t4,4e4 -00000354 : - 354: 00000213 li tp,0 - 358: 00f00093 li ra,15 +00000350 : + 350: 00000213 li tp,0 + 354: 00f00093 li ra,15 + 358: 00000013 nop 35c: 00000013 nop - 360: 00000013 nop - 364: 00b00113 li sp,11 - 368: 00208f33 add t5,ra,sp - 36c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 370: 00200293 li t0,2 - 374: fe5212e3 bne tp,t0,358 - 378: 01a00e93 li t4,26 - 37c: 01c00193 li gp,28 - 380: 17df1463 bne t5,t4,4e8 + 360: 00b00113 li sp,11 + 364: 00208f33 add t5,ra,sp + 368: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 36c: 00200293 li t0,2 + 370: fe5212e3 bne tp,t0,354 + 374: 01a00e93 li t4,26 + 378: 01c00193 li gp,28 + 37c: 17df1463 bne t5,t4,4e4 -00000384 : - 384: 00000213 li tp,0 - 388: 00b00113 li sp,11 - 38c: 00d00093 li ra,13 - 390: 00208f33 add t5,ra,sp - 394: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 398: 00200293 li t0,2 - 39c: fe5216e3 bne tp,t0,388 - 3a0: 01800e93 li t4,24 - 3a4: 01d00193 li gp,29 - 3a8: 15df1063 bne t5,t4,4e8 +00000380 : + 380: 00000213 li tp,0 + 384: 00b00113 li sp,11 + 388: 00d00093 li ra,13 + 38c: 00208f33 add t5,ra,sp + 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 394: 00200293 li t0,2 + 398: fe5216e3 bne tp,t0,384 + 39c: 01800e93 li t4,24 + 3a0: 01d00193 li gp,29 + 3a4: 15df1063 bne t5,t4,4e4 -000003ac : - 3ac: 00000213 li tp,0 - 3b0: 00b00113 li sp,11 - 3b4: 00e00093 li ra,14 - 3b8: 00000013 nop - 3bc: 00208f33 add t5,ra,sp - 3c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3c4: 00200293 li t0,2 - 3c8: fe5214e3 bne tp,t0,3b0 - 3cc: 01900e93 li t4,25 - 3d0: 01e00193 li gp,30 - 3d4: 11df1a63 bne t5,t4,4e8 +000003a8 : + 3a8: 00000213 li tp,0 + 3ac: 00b00113 li sp,11 + 3b0: 00e00093 li ra,14 + 3b4: 00000013 nop + 3b8: 00208f33 add t5,ra,sp + 3bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c0: 00200293 li t0,2 + 3c4: fe5214e3 bne tp,t0,3ac + 3c8: 01900e93 li t4,25 + 3cc: 01e00193 li gp,30 + 3d0: 11df1a63 bne t5,t4,4e4 -000003d8 : - 3d8: 00000213 li tp,0 - 3dc: 00b00113 li sp,11 - 3e0: 00f00093 li ra,15 +000003d4 : + 3d4: 00000213 li tp,0 + 3d8: 00b00113 li sp,11 + 3dc: 00f00093 li ra,15 + 3e0: 00000013 nop 3e4: 00000013 nop - 3e8: 00000013 nop - 3ec: 00208f33 add t5,ra,sp - 3f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3f4: 00200293 li t0,2 - 3f8: fe5212e3 bne tp,t0,3dc - 3fc: 01a00e93 li t4,26 - 400: 01f00193 li gp,31 - 404: 0fdf1263 bne t5,t4,4e8 + 3e8: 00208f33 add t5,ra,sp + 3ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3f0: 00200293 li t0,2 + 3f4: fe5212e3 bne tp,t0,3d8 + 3f8: 01a00e93 li t4,26 + 3fc: 01f00193 li gp,31 + 400: 0fdf1263 bne t5,t4,4e4 -00000408 : - 408: 00000213 li tp,0 - 40c: 00b00113 li sp,11 - 410: 00000013 nop - 414: 00d00093 li ra,13 - 418: 00208f33 add t5,ra,sp - 41c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 420: 00200293 li t0,2 - 424: fe5214e3 bne tp,t0,40c - 428: 01800e93 li t4,24 - 42c: 02000193 li gp,32 - 430: 0bdf1c63 bne t5,t4,4e8 +00000404 : + 404: 00000213 li tp,0 + 408: 00b00113 li sp,11 + 40c: 00000013 nop + 410: 00d00093 li ra,13 + 414: 00208f33 add t5,ra,sp + 418: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 41c: 00200293 li t0,2 + 420: fe5214e3 bne tp,t0,408 + 424: 01800e93 li t4,24 + 428: 02000193 li gp,32 + 42c: 0bdf1c63 bne t5,t4,4e4 -00000434 : - 434: 00000213 li tp,0 - 438: 00b00113 li sp,11 - 43c: 00000013 nop - 440: 00e00093 li ra,14 - 444: 00000013 nop - 448: 00208f33 add t5,ra,sp - 44c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 450: 00200293 li t0,2 - 454: fe5212e3 bne tp,t0,438 - 458: 01900e93 li t4,25 - 45c: 02100193 li gp,33 - 460: 09df1463 bne t5,t4,4e8 +00000430 : + 430: 00000213 li tp,0 + 434: 00b00113 li sp,11 + 438: 00000013 nop + 43c: 00e00093 li ra,14 + 440: 00000013 nop + 444: 00208f33 add t5,ra,sp + 448: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 44c: 00200293 li t0,2 + 450: fe5212e3 bne tp,t0,434 + 454: 01900e93 li t4,25 + 458: 02100193 li gp,33 + 45c: 09df1463 bne t5,t4,4e4 -00000464 : - 464: 00000213 li tp,0 - 468: 00b00113 li sp,11 +00000460 : + 460: 00000213 li tp,0 + 464: 00b00113 li sp,11 + 468: 00000013 nop 46c: 00000013 nop - 470: 00000013 nop - 474: 00f00093 li ra,15 - 478: 00208f33 add t5,ra,sp - 47c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 480: 00200293 li t0,2 - 484: fe5212e3 bne tp,t0,468 - 488: 01a00e93 li t4,26 - 48c: 02200193 li gp,34 - 490: 05df1c63 bne t5,t4,4e8 + 470: 00f00093 li ra,15 + 474: 00208f33 add t5,ra,sp + 478: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 47c: 00200293 li t0,2 + 480: fe5212e3 bne tp,t0,464 + 484: 01a00e93 li t4,26 + 488: 02200193 li gp,34 + 48c: 05df1c63 bne t5,t4,4e4 -00000494 : - 494: 00f00093 li ra,15 - 498: 00100133 add sp,zero,ra - 49c: 00f00e93 li t4,15 - 4a0: 02300193 li gp,35 - 4a4: 05d11263 bne sp,t4,4e8 +00000490 : + 490: 00f00093 li ra,15 + 494: 00100133 add sp,zero,ra + 498: 00f00e93 li t4,15 + 49c: 02300193 li gp,35 + 4a0: 05d11263 bne sp,t4,4e4 -000004a8 : - 4a8: 02000093 li ra,32 - 4ac: 00008133 add sp,ra,zero - 4b0: 02000e93 li t4,32 - 4b4: 02400193 li gp,36 - 4b8: 03d11863 bne sp,t4,4e8 +000004a4 : + 4a4: 02000093 li ra,32 + 4a8: 00008133 add sp,ra,zero + 4ac: 02000e93 li t4,32 + 4b0: 02400193 li gp,36 + 4b4: 03d11863 bne sp,t4,4e4 -000004bc : - 4bc: 000000b3 add ra,zero,zero - 4c0: 00000e93 li t4,0 - 4c4: 02500193 li gp,37 - 4c8: 03d09063 bne ra,t4,4e8 +000004b8 : + 4b8: 000000b3 add ra,zero,zero + 4bc: 00000e93 li t4,0 + 4c0: 02500193 li gp,37 + 4c4: 03d09063 bne ra,t4,4e4 -000004cc : - 4cc: 01000093 li ra,16 - 4d0: 01e00113 li sp,30 - 4d4: 00208033 add zero,ra,sp - 4d8: 00000e93 li t4,0 - 4dc: 02600193 li gp,38 - 4e0: 01d01463 bne zero,t4,4e8 - 4e4: 00301863 bne zero,gp,4f4 +000004c8 : + 4c8: 01000093 li ra,16 + 4cc: 01e00113 li sp,30 + 4d0: 00208033 add zero,ra,sp + 4d4: 00000e93 li t4,0 + 4d8: 02600193 li gp,38 + 4dc: 01d01463 bne zero,t4,4e4 + 4e0: 00301663 bne zero,gp,4ec -000004e8 : - 4e8: 00000d93 li s11,0 - 4ec: 00100d13 li s10,1 +000004e4 : + 4e4: 1000d073 csrwi sstatus,1 -000004f0 : - 4f0: 0000006f j 4f0 +000004e8 : + 4e8: 0000006f j 4e8 -000004f4 : - 4f4: 00100d93 li s11,1 - 4f8: 00100d13 li s10,1 +000004ec : + 4ec: 1001d073 csrwi sstatus,3 -000004fc : - 4fc: 0000006f j 4fc - 500: 0000 unimp +000004f0 : + 4f0: 0000006f j 4f0 ... Disassembly of section .tohost: @@ -421,14 +417,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-add.mem b/tests/isa/generated/rv32ui-p-add.mem index 5a05a8f..7018d19 100644 --- a/tests/isa/generated/rv32ui-p-add.mem +++ b/tests/isa/generated/rv32ui-p-add.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 00208f33 @@ -311,12 +310,10 @@ fe5212e3 00000e93 02600193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-add.verilog b/tests/isa/generated/rv32ui-p-add.verilog index 29fd610..3a5d3b7 100755 --- a/tests/isa/generated/rv32ui-p-add.verilog +++ b/tests/isa/generated/rv32ui-p-add.verilog @@ -1,84 +1,84 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 8F 20 00 93 0E 00 00 93 01 20 00 63 16 DF 4D -93 00 10 00 13 01 10 00 33 8F 20 00 93 0E 20 00 -93 01 30 00 63 1A DF 4B 93 00 30 00 13 01 70 00 -33 8F 20 00 93 0E A0 00 93 01 40 00 63 1E DF 49 -93 00 00 00 37 81 FF FF 33 8F 20 00 B7 8E FF FF -93 01 50 00 63 12 DF 49 B7 00 00 80 13 01 00 00 -33 8F 20 00 B7 0E 00 80 93 01 60 00 63 16 DF 47 -B7 00 00 80 37 81 FF FF 33 8F 20 00 B7 8E FF 7F -93 01 70 00 63 1A DF 45 93 00 00 00 37 81 00 00 -13 01 F1 FF 33 8F 20 00 B7 8E 00 00 93 8E FE FF -93 01 80 00 63 1A DF 43 B7 00 00 80 93 80 F0 FF -13 01 00 00 33 8F 20 00 B7 0E 00 80 93 8E FE FF -93 01 90 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 -93 8E EE FF 93 01 A0 00 63 18 DF 3F B7 00 00 80 -37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 -93 8E FE FF 93 01 B0 00 63 18 DF 3D B7 00 00 80 -93 80 F0 FF 37 81 FF FF 33 8F 20 00 B7 8E FF 7F -93 8E FE FF 93 01 C0 00 63 18 DF 3B 93 00 00 00 -13 01 F0 FF 33 8F 20 00 93 0E F0 FF 93 01 D0 00 -63 1C DF 39 93 00 F0 FF 13 01 10 00 33 8F 20 00 -93 0E 00 00 93 01 E0 00 63 10 DF 39 93 00 F0 FF -13 01 F0 FF 33 8F 20 00 93 0E E0 FF 93 01 F0 00 -63 14 DF 37 93 00 10 00 37 01 00 80 13 01 F1 FF -33 8F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 35 -93 00 D0 00 13 01 B0 00 B3 80 20 00 93 0E 80 01 -93 01 10 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 -33 81 20 00 93 0E 90 01 93 01 20 01 63 1E D1 31 -93 00 D0 00 B3 80 10 00 93 0E A0 01 93 01 30 01 -63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 80 01 93 01 40 01 63 1E D3 2D -13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 00 +73 50 00 10 93 00 00 00 13 01 00 00 33 8F 20 00 +93 0E 00 00 93 01 20 00 63 16 DF 4D 93 00 10 00 +13 01 10 00 33 8F 20 00 93 0E 20 00 93 01 30 00 +63 1A DF 4B 93 00 30 00 13 01 70 00 33 8F 20 00 +93 0E A0 00 93 01 40 00 63 1E DF 49 93 00 00 00 +37 81 FF FF 33 8F 20 00 B7 8E FF FF 93 01 50 00 +63 12 DF 49 B7 00 00 80 13 01 00 00 33 8F 20 00 +B7 0E 00 80 93 01 60 00 63 16 DF 47 B7 00 00 80 +37 81 FF FF 33 8F 20 00 B7 8E FF 7F 93 01 70 00 +63 1A DF 45 93 00 00 00 37 81 00 00 13 01 F1 FF +33 8F 20 00 B7 8E 00 00 93 8E FE FF 93 01 80 00 +63 1A DF 43 B7 00 00 80 93 80 F0 FF 13 01 00 00 +33 8F 20 00 B7 0E 00 80 93 8E FE FF 93 01 90 00 +63 1A DF 41 B7 00 00 80 93 80 F0 FF 37 81 00 00 +13 01 F1 FF 33 8F 20 00 B7 8E 00 80 93 8E EE FF +93 01 A0 00 63 18 DF 3F B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 8F 20 00 B7 8E 00 80 93 8E FE FF +93 01 B0 00 63 18 DF 3D B7 00 00 80 93 80 F0 FF +37 81 FF FF 33 8F 20 00 B7 8E FF 7F 93 8E FE FF +93 01 C0 00 63 18 DF 3B 93 00 00 00 13 01 F0 FF +33 8F 20 00 93 0E F0 FF 93 01 D0 00 63 1C DF 39 +93 00 F0 FF 13 01 10 00 33 8F 20 00 93 0E 00 00 +93 01 E0 00 63 10 DF 39 93 00 F0 FF 13 01 F0 FF +33 8F 20 00 93 0E E0 FF 93 01 F0 00 63 14 DF 37 +93 00 10 00 37 01 00 80 13 01 F1 FF 33 8F 20 00 +B7 0E 00 80 93 01 00 01 63 16 DF 35 93 00 D0 00 +13 01 B0 00 B3 80 20 00 93 0E 80 01 93 01 10 01 +63 9A D0 33 93 00 E0 00 13 01 B0 00 33 81 20 00 +93 0E 90 01 93 01 20 01 63 1E D1 31 93 00 D0 00 +B3 80 10 00 93 0E A0 01 93 01 30 01 63 94 D0 31 +13 02 00 00 93 00 D0 00 13 01 B0 00 33 8F 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 80 01 93 01 40 01 63 1E D3 2D 13 02 00 00 +93 00 E0 00 13 01 B0 00 33 8F 20 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 90 01 93 01 50 01 63 16 D3 2B 13 02 00 00 +93 00 F0 00 13 01 B0 00 33 8F 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 90 01 93 01 50 01 63 16 D3 2B -13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 00 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E A0 01 93 01 60 01 -63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 80 01 93 01 70 01 63 18 DF 25 13 02 00 00 -93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 -93 01 80 01 63 12 DF 23 13 02 00 00 93 00 F0 00 -13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 -93 01 90 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 -13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 A0 01 -63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 +E3 10 52 FE 93 0E A0 01 93 01 60 01 63 1C D3 27 +13 02 00 00 93 00 D0 00 13 01 B0 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 80 01 +93 01 70 01 63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 B0 01 -63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 -13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 C0 01 -63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 -33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 80 01 93 01 D0 01 63 10 DF 15 13 02 00 00 -13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 -93 01 E0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 -93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 -93 01 F0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 -13 00 00 00 93 00 D0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 00 02 -63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 +93 02 20 00 E3 14 52 FE 93 0E 90 01 93 01 80 01 +63 12 DF 23 13 02 00 00 93 00 F0 00 13 01 B0 00 +13 00 00 00 13 00 00 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 90 01 +63 1A DF 1F 13 02 00 00 93 00 D0 00 13 00 00 00 +13 01 B0 00 33 8F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 80 01 93 01 A0 01 63 14 DF 1D +13 02 00 00 93 00 E0 00 13 00 00 00 13 01 B0 00 +13 00 00 00 33 8F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 90 01 93 01 B0 01 63 1C DF 19 +13 02 00 00 93 00 F0 00 13 00 00 00 13 00 00 00 +13 01 B0 00 33 8F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E A0 01 93 01 C0 01 63 14 DF 17 +13 02 00 00 13 01 B0 00 93 00 D0 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 80 01 +93 01 D0 01 63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 10 02 -63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 -13 00 00 00 93 00 F0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 20 02 -63 1C DF 05 93 00 F0 00 33 01 10 00 93 0E F0 00 -93 01 30 02 63 12 D1 05 93 00 00 02 33 81 00 00 -93 0E 00 02 93 01 40 02 63 18 D1 03 B3 00 00 00 -93 0E 00 00 93 01 50 02 63 90 D0 03 93 00 00 01 -13 01 E0 01 33 80 20 00 93 0E 00 00 93 01 60 02 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +93 02 20 00 E3 14 52 FE 93 0E 90 01 93 01 E0 01 +63 1A DF 11 13 02 00 00 13 01 B0 00 93 00 F0 00 +13 00 00 00 13 00 00 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 F0 01 +63 12 DF 0F 13 02 00 00 13 01 B0 00 13 00 00 00 +93 00 D0 00 33 8F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 80 01 93 01 00 02 63 1C DF 0B +13 02 00 00 13 01 B0 00 13 00 00 00 93 00 E0 00 +13 00 00 00 33 8F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 90 01 93 01 10 02 63 14 DF 09 +13 02 00 00 13 01 B0 00 13 00 00 00 13 00 00 00 +93 00 F0 00 33 8F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E A0 01 93 01 20 02 63 1C DF 05 +93 00 F0 00 33 01 10 00 93 0E F0 00 93 01 30 02 +63 12 D1 05 93 00 00 02 33 81 00 00 93 0E 00 02 +93 01 40 02 63 18 D1 03 B3 00 00 00 93 0E 00 00 +93 01 50 02 63 90 D0 03 93 00 00 01 13 01 E0 01 +33 80 20 00 93 0E 00 00 93 01 60 02 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-addi b/tests/isa/generated/rv32ui-p-addi index 5f29bbe05cab1941b83c999ee849df062d39b475..fb318ea710c3ee6af57d8a20e878bc17ccd20628 100755 GIT binary patch delta 576 zcmYk(F-yZ>6ouh?OO>L7f!a0*28%;G6pU4*gMxyiphHKOV1)$4N>l10w1aLPQqsY} z(NR#TZXF!D2qJ=mI*5yt_#-?)N>7%&&rQB0e0i`3b}*-f+w9j=+}{zSmoUy^M%ott zMU1Kl&T3RU&b75M3)MV-uOXU5j@24VMXz{dOF}0mJB}5$lBH%GSN;!`M?^a|aPR^stJf-gG>A>K{@GAbZSUJK|!NqgF}ntkYE-T(h#`V)}VYH9Ncnj z2^$+6T!M(8pedpy8v7G^&XAsigZJw>xL*@*>~)qjbDR2zhTVvW#W@N(mjPqEDcp!7 z$KqdbWZK>Gbz&!hrBOXSXo^OWp++;GtL9F;Zh6tR-St)&NwCNWNdga8APuJRnctKKThA+M`%~ZX=(q g7mB0L*qdt7W{qnf: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00008f13 mv t5,ra - 10: 00000e93 li t4,0 - 14: 00200193 li gp,2 - 18: 27df1c63 bne t5,t4,290 +00000004 : + 4: 00000093 li ra,0 + 8: 00008f13 mv t5,ra + c: 00000e93 li t4,0 + 10: 00200193 li gp,2 + 14: 27df1c63 bne t5,t4,28c -0000001c : - 1c: 00100093 li ra,1 - 20: 00108f13 addi t5,ra,1 - 24: 00200e93 li t4,2 - 28: 00300193 li gp,3 - 2c: 27df1263 bne t5,t4,290 +00000018 : + 18: 00100093 li ra,1 + 1c: 00108f13 addi t5,ra,1 + 20: 00200e93 li t4,2 + 24: 00300193 li gp,3 + 28: 27df1263 bne t5,t4,28c -00000030 : - 30: 00300093 li ra,3 - 34: 00708f13 addi t5,ra,7 - 38: 00a00e93 li t4,10 - 3c: 00400193 li gp,4 - 40: 25df1863 bne t5,t4,290 +0000002c : + 2c: 00300093 li ra,3 + 30: 00708f13 addi t5,ra,7 + 34: 00a00e93 li t4,10 + 38: 00400193 li gp,4 + 3c: 25df1863 bne t5,t4,28c -00000044 : - 44: 00000093 li ra,0 - 48: 80008f13 addi t5,ra,-2048 - 4c: 80000e93 li t4,-2048 - 50: 00500193 li gp,5 - 54: 23df1e63 bne t5,t4,290 +00000040 : + 40: 00000093 li ra,0 + 44: 80008f13 addi t5,ra,-2048 + 48: 80000e93 li t4,-2048 + 4c: 00500193 li gp,5 + 50: 23df1e63 bne t5,t4,28c -00000058 : - 58: 800000b7 lui ra,0x80000 - 5c: 00008f13 mv t5,ra - 60: 80000eb7 lui t4,0x80000 - 64: 00600193 li gp,6 - 68: 23df1463 bne t5,t4,290 +00000054 : + 54: 800000b7 lui ra,0x80000 + 58: 00008f13 mv t5,ra + 5c: 80000eb7 lui t4,0x80000 + 60: 00600193 li gp,6 + 64: 23df1463 bne t5,t4,28c -0000006c : - 6c: 800000b7 lui ra,0x80000 - 70: 80008f13 addi t5,ra,-2048 # 7ffff800 - 74: 80000eb7 lui t4,0x80000 - 78: 800e8e93 addi t4,t4,-2048 # 7ffff800 - 7c: 00700193 li gp,7 - 80: 21df1863 bne t5,t4,290 +00000068 : + 68: 800000b7 lui ra,0x80000 + 6c: 80008f13 addi t5,ra,-2048 # 7ffff800 + 70: 80000eb7 lui t4,0x80000 + 74: 800e8e93 addi t4,t4,-2048 # 7ffff800 + 78: 00700193 li gp,7 + 7c: 21df1863 bne t5,t4,28c -00000084 : - 84: 00000093 li ra,0 - 88: 7ff08f13 addi t5,ra,2047 - 8c: 7ff00e93 li t4,2047 - 90: 00800193 li gp,8 - 94: 1fdf1e63 bne t5,t4,290 +00000080 : + 80: 00000093 li ra,0 + 84: 7ff08f13 addi t5,ra,2047 + 88: 7ff00e93 li t4,2047 + 8c: 00800193 li gp,8 + 90: 1fdf1e63 bne t5,t4,28c -00000098 : - 98: 800000b7 lui ra,0x80000 - 9c: fff08093 addi ra,ra,-1 # 7fffffff - a0: 00008f13 mv t5,ra - a4: 80000eb7 lui t4,0x80000 - a8: fffe8e93 addi t4,t4,-1 # 7fffffff - ac: 00900193 li gp,9 - b0: 1fdf1063 bne t5,t4,290 +00000094 : + 94: 800000b7 lui ra,0x80000 + 98: fff08093 addi ra,ra,-1 # 7fffffff + 9c: 00008f13 mv t5,ra + a0: 80000eb7 lui t4,0x80000 + a4: fffe8e93 addi t4,t4,-1 # 7fffffff + a8: 00900193 li gp,9 + ac: 1fdf1063 bne t5,t4,28c -000000b4 : - b4: 800000b7 lui ra,0x80000 - b8: fff08093 addi ra,ra,-1 # 7fffffff - bc: 7ff08f13 addi t5,ra,2047 - c0: 80000eb7 lui t4,0x80000 - c4: 7fee8e93 addi t4,t4,2046 # 800007fe - c8: 00a00193 li gp,10 - cc: 1ddf1263 bne t5,t4,290 +000000b0 : + b0: 800000b7 lui ra,0x80000 + b4: fff08093 addi ra,ra,-1 # 7fffffff + b8: 7ff08f13 addi t5,ra,2047 + bc: 80000eb7 lui t4,0x80000 + c0: 7fee8e93 addi t4,t4,2046 # 800007fe + c4: 00a00193 li gp,10 + c8: 1ddf1263 bne t5,t4,28c -000000d0 : - d0: 800000b7 lui ra,0x80000 - d4: 7ff08f13 addi t5,ra,2047 # 800007ff - d8: 80000eb7 lui t4,0x80000 - dc: 7ffe8e93 addi t4,t4,2047 # 800007ff - e0: 00b00193 li gp,11 - e4: 1bdf1663 bne t5,t4,290 +000000cc : + cc: 800000b7 lui ra,0x80000 + d0: 7ff08f13 addi t5,ra,2047 # 800007ff + d4: 80000eb7 lui t4,0x80000 + d8: 7ffe8e93 addi t4,t4,2047 # 800007ff + dc: 00b00193 li gp,11 + e0: 1bdf1663 bne t5,t4,28c -000000e8 : - e8: 800000b7 lui ra,0x80000 - ec: fff08093 addi ra,ra,-1 # 7fffffff - f0: 80008f13 addi t5,ra,-2048 - f4: 7ffffeb7 lui t4,0x7ffff - f8: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff - fc: 00c00193 li gp,12 - 100: 19df1863 bne t5,t4,290 +000000e4 : + e4: 800000b7 lui ra,0x80000 + e8: fff08093 addi ra,ra,-1 # 7fffffff + ec: 80008f13 addi t5,ra,-2048 + f0: 7ffffeb7 lui t4,0x7ffff + f4: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff + f8: 00c00193 li gp,12 + fc: 19df1863 bne t5,t4,28c -00000104 : - 104: 00000093 li ra,0 - 108: fff08f13 addi t5,ra,-1 - 10c: fff00e93 li t4,-1 - 110: 00d00193 li gp,13 - 114: 17df1e63 bne t5,t4,290 +00000100 : + 100: 00000093 li ra,0 + 104: fff08f13 addi t5,ra,-1 + 108: fff00e93 li t4,-1 + 10c: 00d00193 li gp,13 + 110: 17df1e63 bne t5,t4,28c -00000118 : - 118: fff00093 li ra,-1 - 11c: 00108f13 addi t5,ra,1 - 120: 00000e93 li t4,0 - 124: 00e00193 li gp,14 - 128: 17df1463 bne t5,t4,290 +00000114 : + 114: fff00093 li ra,-1 + 118: 00108f13 addi t5,ra,1 + 11c: 00000e93 li t4,0 + 120: 00e00193 li gp,14 + 124: 17df1463 bne t5,t4,28c -0000012c : - 12c: fff00093 li ra,-1 - 130: fff08f13 addi t5,ra,-1 - 134: ffe00e93 li t4,-2 - 138: 00f00193 li gp,15 - 13c: 15df1a63 bne t5,t4,290 +00000128 : + 128: fff00093 li ra,-1 + 12c: fff08f13 addi t5,ra,-1 + 130: ffe00e93 li t4,-2 + 134: 00f00193 li gp,15 + 138: 15df1a63 bne t5,t4,28c -00000140 : - 140: 800000b7 lui ra,0x80000 - 144: fff08093 addi ra,ra,-1 # 7fffffff - 148: 00108f13 addi t5,ra,1 - 14c: 80000eb7 lui t4,0x80000 - 150: 01000193 li gp,16 - 154: 13df1e63 bne t5,t4,290 +0000013c : + 13c: 800000b7 lui ra,0x80000 + 140: fff08093 addi ra,ra,-1 # 7fffffff + 144: 00108f13 addi t5,ra,1 + 148: 80000eb7 lui t4,0x80000 + 14c: 01000193 li gp,16 + 150: 13df1e63 bne t5,t4,28c -00000158 : - 158: 00d00093 li ra,13 - 15c: 00b08093 addi ra,ra,11 - 160: 01800e93 li t4,24 - 164: 01100193 li gp,17 - 168: 13d09463 bne ra,t4,290 +00000154 : + 154: 00d00093 li ra,13 + 158: 00b08093 addi ra,ra,11 + 15c: 01800e93 li t4,24 + 160: 01100193 li gp,17 + 164: 13d09463 bne ra,t4,28c -0000016c : - 16c: 00000213 li tp,0 - 170: 00d00093 li ra,13 - 174: 00b08f13 addi t5,ra,11 - 178: 000f0313 mv t1,t5 - 17c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 180: 00200293 li t0,2 - 184: fe5216e3 bne tp,t0,170 - 188: 01800e93 li t4,24 - 18c: 01200193 li gp,18 - 190: 11d31063 bne t1,t4,290 +00000168 : + 168: 00000213 li tp,0 + 16c: 00d00093 li ra,13 + 170: 00b08f13 addi t5,ra,11 + 174: 000f0313 mv t1,t5 + 178: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 17c: 00200293 li t0,2 + 180: fe5216e3 bne tp,t0,16c + 184: 01800e93 li t4,24 + 188: 01200193 li gp,18 + 18c: 11d31063 bne t1,t4,28c -00000194 : - 194: 00000213 li tp,0 - 198: 00d00093 li ra,13 - 19c: 00a08f13 addi t5,ra,10 - 1a0: 00000013 nop - 1a4: 000f0313 mv t1,t5 - 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1ac: 00200293 li t0,2 - 1b0: fe5214e3 bne tp,t0,198 - 1b4: 01700e93 li t4,23 - 1b8: 01300193 li gp,19 - 1bc: 0dd31a63 bne t1,t4,290 +00000190 : + 190: 00000213 li tp,0 + 194: 00d00093 li ra,13 + 198: 00a08f13 addi t5,ra,10 + 19c: 00000013 nop + 1a0: 000f0313 mv t1,t5 + 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a8: 00200293 li t0,2 + 1ac: fe5214e3 bne tp,t0,194 + 1b0: 01700e93 li t4,23 + 1b4: 01300193 li gp,19 + 1b8: 0dd31a63 bne t1,t4,28c -000001c0 : - 1c0: 00000213 li tp,0 - 1c4: 00d00093 li ra,13 - 1c8: 00908f13 addi t5,ra,9 +000001bc : + 1bc: 00000213 li tp,0 + 1c0: 00d00093 li ra,13 + 1c4: 00908f13 addi t5,ra,9 + 1c8: 00000013 nop 1cc: 00000013 nop - 1d0: 00000013 nop - 1d4: 000f0313 mv t1,t5 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5212e3 bne tp,t0,1c4 - 1e4: 01600e93 li t4,22 - 1e8: 01400193 li gp,20 - 1ec: 0bd31263 bne t1,t4,290 + 1d0: 000f0313 mv t1,t5 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5212e3 bne tp,t0,1c0 + 1e0: 01600e93 li t4,22 + 1e4: 01400193 li gp,20 + 1e8: 0bd31263 bne t1,t4,28c -000001f0 : - 1f0: 00000213 li tp,0 - 1f4: 00d00093 li ra,13 - 1f8: 00b08f13 addi t5,ra,11 - 1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 200: 00200293 li t0,2 - 204: fe5218e3 bne tp,t0,1f4 - 208: 01800e93 li t4,24 - 20c: 01500193 li gp,21 - 210: 09df1063 bne t5,t4,290 +000001ec : + 1ec: 00000213 li tp,0 + 1f0: 00d00093 li ra,13 + 1f4: 00b08f13 addi t5,ra,11 + 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1fc: 00200293 li t0,2 + 200: fe5218e3 bne tp,t0,1f0 + 204: 01800e93 li t4,24 + 208: 01500193 li gp,21 + 20c: 09df1063 bne t5,t4,28c -00000214 : - 214: 00000213 li tp,0 - 218: 00d00093 li ra,13 - 21c: 00000013 nop - 220: 00a08f13 addi t5,ra,10 - 224: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 228: 00200293 li t0,2 - 22c: fe5216e3 bne tp,t0,218 - 230: 01700e93 li t4,23 - 234: 01600193 li gp,22 - 238: 05df1c63 bne t5,t4,290 +00000210 : + 210: 00000213 li tp,0 + 214: 00d00093 li ra,13 + 218: 00000013 nop + 21c: 00a08f13 addi t5,ra,10 + 220: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 224: 00200293 li t0,2 + 228: fe5216e3 bne tp,t0,214 + 22c: 01700e93 li t4,23 + 230: 01600193 li gp,22 + 234: 05df1c63 bne t5,t4,28c -0000023c : - 23c: 00000213 li tp,0 - 240: 00d00093 li ra,13 +00000238 : + 238: 00000213 li tp,0 + 23c: 00d00093 li ra,13 + 240: 00000013 nop 244: 00000013 nop - 248: 00000013 nop - 24c: 00908f13 addi t5,ra,9 - 250: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 254: 00200293 li t0,2 - 258: fe5214e3 bne tp,t0,240 - 25c: 01600e93 li t4,22 - 260: 01700193 li gp,23 - 264: 03df1663 bne t5,t4,290 + 248: 00908f13 addi t5,ra,9 + 24c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 250: 00200293 li t0,2 + 254: fe5214e3 bne tp,t0,23c + 258: 01600e93 li t4,22 + 25c: 01700193 li gp,23 + 260: 03df1663 bne t5,t4,28c -00000268 : - 268: 02000093 li ra,32 - 26c: 02000e93 li t4,32 - 270: 01800193 li gp,24 - 274: 01d09e63 bne ra,t4,290 +00000264 : + 264: 02000093 li ra,32 + 268: 02000e93 li t4,32 + 26c: 01800193 li gp,24 + 270: 01d09e63 bne ra,t4,28c -00000278 : - 278: 02100093 li ra,33 - 27c: 03208013 addi zero,ra,50 - 280: 00000e93 li t4,0 - 284: 01900193 li gp,25 - 288: 01d01463 bne zero,t4,290 - 28c: 00301863 bne zero,gp,29c +00000274 : + 274: 02100093 li ra,33 + 278: 03208013 addi zero,ra,50 + 27c: 00000e93 li t4,0 + 280: 01900193 li gp,25 + 284: 01d01463 bne zero,t4,28c + 288: 00301663 bne zero,gp,294 -00000290 : - 290: 00000d93 li s11,0 - 294: 00100d13 li s10,1 +0000028c : + 28c: 1000d073 csrwi sstatus,1 -00000298 : - 298: 0000006f j 298 +00000290 : + 290: 0000006f j 290 -0000029c : - 29c: 00100d93 li s11,1 - 2a0: 00100d13 li s10,1 +00000294 : + 294: 1001d073 csrwi sstatus,3 -000002a4 : - 2a4: 0000006f j 2a4 +00000298 : + 298: 0000006f j 298 ... Disassembly of section .tohost: @@ -244,14 +241,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-addi.mem b/tests/isa/generated/rv32ui-p-addi.mem index 23014c2..b024acf 100644 --- a/tests/isa/generated/rv32ui-p-addi.mem +++ b/tests/isa/generated/rv32ui-p-addi.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00008f13 00000e93 @@ -161,12 +160,10 @@ fe5214e3 00000e93 01900193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -208,3 +205,6 @@ fe5214e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-addi.verilog b/tests/isa/generated/rv32ui-p-addi.verilog index 41f3d94..e95f9e0 100755 --- a/tests/isa/generated/rv32ui-p-addi.verilog +++ b/tests/isa/generated/rv32ui-p-addi.verilog @@ -1,47 +1,47 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 8F 00 00 -93 0E 00 00 93 01 20 00 63 1C DF 27 93 00 10 00 -13 8F 10 00 93 0E 20 00 93 01 30 00 63 12 DF 27 -93 00 30 00 13 8F 70 00 93 0E A0 00 93 01 40 00 -63 18 DF 25 93 00 00 00 13 8F 00 80 93 0E 00 80 -93 01 50 00 63 1E DF 23 B7 00 00 80 13 8F 00 00 -B7 0E 00 80 93 01 60 00 63 14 DF 23 B7 00 00 80 -13 8F 00 80 B7 0E 00 80 93 8E 0E 80 93 01 70 00 -63 18 DF 21 93 00 00 00 13 8F F0 7F 93 0E F0 7F -93 01 80 00 63 1E DF 1F B7 00 00 80 93 80 F0 FF -13 8F 00 00 B7 0E 00 80 93 8E FE FF 93 01 90 00 -63 10 DF 1F B7 00 00 80 93 80 F0 FF 13 8F F0 7F -B7 0E 00 80 93 8E EE 7F 93 01 A0 00 63 12 DF 1D -B7 00 00 80 13 8F F0 7F B7 0E 00 80 93 8E FE 7F -93 01 B0 00 63 16 DF 1B B7 00 00 80 93 80 F0 FF -13 8F 00 80 B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 -63 18 DF 19 93 00 00 00 13 8F F0 FF 93 0E F0 FF -93 01 D0 00 63 1E DF 17 93 00 F0 FF 13 8F 10 00 -93 0E 00 00 93 01 E0 00 63 14 DF 17 93 00 F0 FF -13 8F F0 FF 93 0E E0 FF 93 01 F0 00 63 1A DF 15 -B7 00 00 80 93 80 F0 FF 13 8F 10 00 B7 0E 00 80 -93 01 00 01 63 1E DF 13 93 00 D0 00 93 80 B0 00 -93 0E 80 01 93 01 10 01 63 94 D0 13 13 02 00 00 -93 00 D0 00 13 8F B0 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 80 01 93 01 20 01 -63 10 D3 11 13 02 00 00 93 00 D0 00 13 8F A0 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 70 01 93 01 30 01 63 1A D3 0D -13 02 00 00 93 00 D0 00 13 8F 90 00 13 00 00 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 60 01 93 01 40 01 63 12 D3 0B -13 02 00 00 93 00 D0 00 13 8F B0 00 13 02 12 00 -93 02 20 00 E3 18 52 FE 93 0E 80 01 93 01 50 01 -63 10 DF 09 13 02 00 00 93 00 D0 00 13 00 00 00 -13 8F A0 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 70 01 93 01 60 01 63 1C DF 05 13 02 00 00 -93 00 D0 00 13 00 00 00 13 00 00 00 13 8F 90 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 60 01 -93 01 70 01 63 16 DF 03 93 00 00 02 93 0E 00 02 -93 01 80 01 63 9E D0 01 93 00 10 02 13 80 20 03 -93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 00 00 00 13 8F 00 00 93 0E 00 00 +93 01 20 00 63 1C DF 27 93 00 10 00 13 8F 10 00 +93 0E 20 00 93 01 30 00 63 12 DF 27 93 00 30 00 +13 8F 70 00 93 0E A0 00 93 01 40 00 63 18 DF 25 +93 00 00 00 13 8F 00 80 93 0E 00 80 93 01 50 00 +63 1E DF 23 B7 00 00 80 13 8F 00 00 B7 0E 00 80 +93 01 60 00 63 14 DF 23 B7 00 00 80 13 8F 00 80 +B7 0E 00 80 93 8E 0E 80 93 01 70 00 63 18 DF 21 +93 00 00 00 13 8F F0 7F 93 0E F0 7F 93 01 80 00 +63 1E DF 1F B7 00 00 80 93 80 F0 FF 13 8F 00 00 +B7 0E 00 80 93 8E FE FF 93 01 90 00 63 10 DF 1F +B7 00 00 80 93 80 F0 FF 13 8F F0 7F B7 0E 00 80 +93 8E EE 7F 93 01 A0 00 63 12 DF 1D B7 00 00 80 +13 8F F0 7F B7 0E 00 80 93 8E FE 7F 93 01 B0 00 +63 16 DF 1B B7 00 00 80 93 80 F0 FF 13 8F 00 80 +B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 63 18 DF 19 +93 00 00 00 13 8F F0 FF 93 0E F0 FF 93 01 D0 00 +63 1E DF 17 93 00 F0 FF 13 8F 10 00 93 0E 00 00 +93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 8F F0 FF +93 0E E0 FF 93 01 F0 00 63 1A DF 15 B7 00 00 80 +93 80 F0 FF 13 8F 10 00 B7 0E 00 80 93 01 00 01 +63 1E DF 13 93 00 D0 00 93 80 B0 00 93 0E 80 01 +93 01 10 01 63 94 D0 13 13 02 00 00 93 00 D0 00 +13 8F B0 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 80 01 93 01 20 01 63 10 D3 11 +13 02 00 00 93 00 D0 00 13 8F A0 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 70 01 93 01 30 01 63 1A D3 0D 13 02 00 00 +93 00 D0 00 13 8F 90 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 60 01 93 01 40 01 63 12 D3 0B 13 02 00 00 +93 00 D0 00 13 8F B0 00 13 02 12 00 93 02 20 00 +E3 18 52 FE 93 0E 80 01 93 01 50 01 63 10 DF 09 +13 02 00 00 93 00 D0 00 13 00 00 00 13 8F A0 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 70 01 +93 01 60 01 63 1C DF 05 13 02 00 00 93 00 D0 00 +13 00 00 00 13 00 00 00 13 8F 90 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 60 01 93 01 70 01 +63 16 DF 03 93 00 00 02 93 0E 00 02 93 01 80 01 +63 9E D0 01 93 00 10 02 13 80 20 03 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000300 diff --git a/tests/isa/generated/rv32ui-p-and b/tests/isa/generated/rv32ui-p-and index 3cb4a059e551448b26d86dc83e99893cc7ab2c8b..baf07ceff5c59040ebb396c7cfbe94ab5168da9c 100755 GIT binary patch delta 612 zcmdmBy2W&Y0^^*Giud_hiUSw~CT|cF*(@WlkVQbufT8#TgFrq51CVAE$e)i&hehQE;!i3PD17rpu@k@aG4kUgJ(0+y^NCGV&z04p21Q;25fTRf$ ze+rPFgT$W$JHXl|@A*A<$K5x`+-9zld~=t2k43B~k;dg4=DlIt zP6#V%(kTTuObT(=ILQ;X<|*LHW0sUJ#y)e_Mzv zQxcOx&I_lI8^X)T*TSpF55gPBufkhIE&36~4zk13f@v4AB3yty;UfGYd;;4u7~x{7 zU@r&V: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: ff0100b7 lui ra,0xff010 - c: f0008093 addi ra,ra,-256 # ff00ff00 - 10: 0f0f1137 lui sp,0xf0f1 - 14: f0f10113 addi sp,sp,-241 # f0f0f0f - 18: 0020ff33 and t5,ra,sp - 1c: 0f001eb7 lui t4,0xf001 - 20: f00e8e93 addi t4,t4,-256 # f000f00 - 24: 00200193 li gp,2 - 28: 49df1c63 bne t5,t4,4c0 +00000004 : + 4: ff0100b7 lui ra,0xff010 + 8: f0008093 addi ra,ra,-256 # ff00ff00 + c: 0f0f1137 lui sp,0xf0f1 + 10: f0f10113 addi sp,sp,-241 # f0f0f0f + 14: 0020ff33 and t5,ra,sp + 18: 0f001eb7 lui t4,0xf001 + 1c: f00e8e93 addi t4,t4,-256 # f000f00 + 20: 00200193 li gp,2 + 24: 49df1c63 bne t5,t4,4bc -0000002c : - 2c: 0ff010b7 lui ra,0xff01 - 30: ff008093 addi ra,ra,-16 # ff00ff0 - 34: f0f0f137 lui sp,0xf0f0f - 38: 0f010113 addi sp,sp,240 # f0f0f0f0 - 3c: 0020ff33 and t5,ra,sp - 40: 00f00eb7 lui t4,0xf00 - 44: 0f0e8e93 addi t4,t4,240 # f000f0 - 48: 00300193 li gp,3 - 4c: 47df1a63 bne t5,t4,4c0 +00000028 : + 28: 0ff010b7 lui ra,0xff01 + 2c: ff008093 addi ra,ra,-16 # ff00ff0 + 30: f0f0f137 lui sp,0xf0f0f + 34: 0f010113 addi sp,sp,240 # f0f0f0f0 + 38: 0020ff33 and t5,ra,sp + 3c: 00f00eb7 lui t4,0xf00 + 40: 0f0e8e93 addi t4,t4,240 # f000f0 + 44: 00300193 li gp,3 + 48: 47df1a63 bne t5,t4,4bc -00000050 : - 50: 00ff00b7 lui ra,0xff0 - 54: 0ff08093 addi ra,ra,255 # ff00ff - 58: 0f0f1137 lui sp,0xf0f1 - 5c: f0f10113 addi sp,sp,-241 # f0f0f0f - 60: 0020ff33 and t5,ra,sp - 64: 000f0eb7 lui t4,0xf0 - 68: 00fe8e93 addi t4,t4,15 # f000f - 6c: 00400193 li gp,4 - 70: 45df1863 bne t5,t4,4c0 +0000004c : + 4c: 00ff00b7 lui ra,0xff0 + 50: 0ff08093 addi ra,ra,255 # ff00ff + 54: 0f0f1137 lui sp,0xf0f1 + 58: f0f10113 addi sp,sp,-241 # f0f0f0f + 5c: 0020ff33 and t5,ra,sp + 60: 000f0eb7 lui t4,0xf0 + 64: 00fe8e93 addi t4,t4,15 # f000f + 68: 00400193 li gp,4 + 6c: 45df1863 bne t5,t4,4bc -00000074 : - 74: f00ff0b7 lui ra,0xf00ff - 78: 00f08093 addi ra,ra,15 # f00ff00f - 7c: f0f0f137 lui sp,0xf0f0f - 80: 0f010113 addi sp,sp,240 # f0f0f0f0 - 84: 0020ff33 and t5,ra,sp - 88: f000feb7 lui t4,0xf000f - 8c: 00500193 li gp,5 - 90: 43df1863 bne t5,t4,4c0 +00000070 : + 70: f00ff0b7 lui ra,0xf00ff + 74: 00f08093 addi ra,ra,15 # f00ff00f + 78: f0f0f137 lui sp,0xf0f0f + 7c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 80: 0020ff33 and t5,ra,sp + 84: f000feb7 lui t4,0xf000f + 88: 00500193 li gp,5 + 8c: 43df1863 bne t5,t4,4bc -00000094 : - 94: ff0100b7 lui ra,0xff010 - 98: f0008093 addi ra,ra,-256 # ff00ff00 - 9c: 0f0f1137 lui sp,0xf0f1 - a0: f0f10113 addi sp,sp,-241 # f0f0f0f - a4: 0020f0b3 and ra,ra,sp - a8: 0f001eb7 lui t4,0xf001 - ac: f00e8e93 addi t4,t4,-256 # f000f00 - b0: 00600193 li gp,6 - b4: 41d09663 bne ra,t4,4c0 +00000090 : + 90: ff0100b7 lui ra,0xff010 + 94: f0008093 addi ra,ra,-256 # ff00ff00 + 98: 0f0f1137 lui sp,0xf0f1 + 9c: f0f10113 addi sp,sp,-241 # f0f0f0f + a0: 0020f0b3 and ra,ra,sp + a4: 0f001eb7 lui t4,0xf001 + a8: f00e8e93 addi t4,t4,-256 # f000f00 + ac: 00600193 li gp,6 + b0: 41d09663 bne ra,t4,4bc -000000b8 : - b8: 0ff010b7 lui ra,0xff01 - bc: ff008093 addi ra,ra,-16 # ff00ff0 - c0: f0f0f137 lui sp,0xf0f0f - c4: 0f010113 addi sp,sp,240 # f0f0f0f0 - c8: 0020f133 and sp,ra,sp - cc: 00f00eb7 lui t4,0xf00 - d0: 0f0e8e93 addi t4,t4,240 # f000f0 - d4: 00700193 li gp,7 - d8: 3fd11463 bne sp,t4,4c0 +000000b4 : + b4: 0ff010b7 lui ra,0xff01 + b8: ff008093 addi ra,ra,-16 # ff00ff0 + bc: f0f0f137 lui sp,0xf0f0f + c0: 0f010113 addi sp,sp,240 # f0f0f0f0 + c4: 0020f133 and sp,ra,sp + c8: 00f00eb7 lui t4,0xf00 + cc: 0f0e8e93 addi t4,t4,240 # f000f0 + d0: 00700193 li gp,7 + d4: 3fd11463 bne sp,t4,4bc -000000dc : - dc: ff0100b7 lui ra,0xff010 - e0: f0008093 addi ra,ra,-256 # ff00ff00 - e4: 0010f0b3 and ra,ra,ra - e8: ff010eb7 lui t4,0xff010 - ec: f00e8e93 addi t4,t4,-256 # ff00ff00 - f0: 00800193 li gp,8 - f4: 3dd09663 bne ra,t4,4c0 +000000d8 : + d8: ff0100b7 lui ra,0xff010 + dc: f0008093 addi ra,ra,-256 # ff00ff00 + e0: 0010f0b3 and ra,ra,ra + e4: ff010eb7 lui t4,0xff010 + e8: f00e8e93 addi t4,t4,-256 # ff00ff00 + ec: 00800193 li gp,8 + f0: 3dd09663 bne ra,t4,4bc -000000f8 : - f8: 00000213 li tp,0 - fc: ff0100b7 lui ra,0xff010 - 100: f0008093 addi ra,ra,-256 # ff00ff00 - 104: 0f0f1137 lui sp,0xf0f1 - 108: f0f10113 addi sp,sp,-241 # f0f0f0f - 10c: 0020ff33 and t5,ra,sp - 110: 000f0313 mv t1,t5 - 114: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 118: 00200293 li t0,2 - 11c: fe5210e3 bne tp,t0,fc - 120: 0f001eb7 lui t4,0xf001 - 124: f00e8e93 addi t4,t4,-256 # f000f00 - 128: 00900193 li gp,9 - 12c: 39d31a63 bne t1,t4,4c0 +000000f4 : + f4: 00000213 li tp,0 + f8: ff0100b7 lui ra,0xff010 + fc: f0008093 addi ra,ra,-256 # ff00ff00 + 100: 0f0f1137 lui sp,0xf0f1 + 104: f0f10113 addi sp,sp,-241 # f0f0f0f + 108: 0020ff33 and t5,ra,sp + 10c: 000f0313 mv t1,t5 + 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 114: 00200293 li t0,2 + 118: fe5210e3 bne tp,t0,f8 + 11c: 0f001eb7 lui t4,0xf001 + 120: f00e8e93 addi t4,t4,-256 # f000f00 + 124: 00900193 li gp,9 + 128: 39d31a63 bne t1,t4,4bc -00000130 : - 130: 00000213 li tp,0 - 134: 0ff010b7 lui ra,0xff01 - 138: ff008093 addi ra,ra,-16 # ff00ff0 - 13c: f0f0f137 lui sp,0xf0f0f - 140: 0f010113 addi sp,sp,240 # f0f0f0f0 - 144: 0020ff33 and t5,ra,sp - 148: 00000013 nop - 14c: 000f0313 mv t1,t5 - 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 154: 00200293 li t0,2 - 158: fc521ee3 bne tp,t0,134 - 15c: 00f00eb7 lui t4,0xf00 - 160: 0f0e8e93 addi t4,t4,240 # f000f0 - 164: 00a00193 li gp,10 - 168: 35d31c63 bne t1,t4,4c0 +0000012c : + 12c: 00000213 li tp,0 + 130: 0ff010b7 lui ra,0xff01 + 134: ff008093 addi ra,ra,-16 # ff00ff0 + 138: f0f0f137 lui sp,0xf0f0f + 13c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 140: 0020ff33 and t5,ra,sp + 144: 00000013 nop + 148: 000f0313 mv t1,t5 + 14c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 150: 00200293 li t0,2 + 154: fc521ee3 bne tp,t0,130 + 158: 00f00eb7 lui t4,0xf00 + 15c: 0f0e8e93 addi t4,t4,240 # f000f0 + 160: 00a00193 li gp,10 + 164: 35d31c63 bne t1,t4,4bc -0000016c : - 16c: 00000213 li tp,0 - 170: 00ff00b7 lui ra,0xff0 - 174: 0ff08093 addi ra,ra,255 # ff00ff - 178: 0f0f1137 lui sp,0xf0f1 - 17c: f0f10113 addi sp,sp,-241 # f0f0f0f - 180: 0020ff33 and t5,ra,sp +00000168 : + 168: 00000213 li tp,0 + 16c: 00ff00b7 lui ra,0xff0 + 170: 0ff08093 addi ra,ra,255 # ff00ff + 174: 0f0f1137 lui sp,0xf0f1 + 178: f0f10113 addi sp,sp,-241 # f0f0f0f + 17c: 0020ff33 and t5,ra,sp + 180: 00000013 nop 184: 00000013 nop - 188: 00000013 nop - 18c: 000f0313 mv t1,t5 - 190: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 194: 00200293 li t0,2 - 198: fc521ce3 bne tp,t0,170 - 19c: 000f0eb7 lui t4,0xf0 - 1a0: 00fe8e93 addi t4,t4,15 # f000f - 1a4: 00b00193 li gp,11 - 1a8: 31d31c63 bne t1,t4,4c0 + 188: 000f0313 mv t1,t5 + 18c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 190: 00200293 li t0,2 + 194: fc521ce3 bne tp,t0,16c + 198: 000f0eb7 lui t4,0xf0 + 19c: 00fe8e93 addi t4,t4,15 # f000f + 1a0: 00b00193 li gp,11 + 1a4: 31d31c63 bne t1,t4,4bc -000001ac : - 1ac: 00000213 li tp,0 - 1b0: ff0100b7 lui ra,0xff010 - 1b4: f0008093 addi ra,ra,-256 # ff00ff00 - 1b8: 0f0f1137 lui sp,0xf0f1 - 1bc: f0f10113 addi sp,sp,-241 # f0f0f0f - 1c0: 0020ff33 and t5,ra,sp - 1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c8: 00200293 li t0,2 - 1cc: fe5212e3 bne tp,t0,1b0 - 1d0: 0f001eb7 lui t4,0xf001 - 1d4: f00e8e93 addi t4,t4,-256 # f000f00 - 1d8: 00c00193 li gp,12 - 1dc: 2fdf1263 bne t5,t4,4c0 +000001a8 : + 1a8: 00000213 li tp,0 + 1ac: ff0100b7 lui ra,0xff010 + 1b0: f0008093 addi ra,ra,-256 # ff00ff00 + 1b4: 0f0f1137 lui sp,0xf0f1 + 1b8: f0f10113 addi sp,sp,-241 # f0f0f0f + 1bc: 0020ff33 and t5,ra,sp + 1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c4: 00200293 li t0,2 + 1c8: fe5212e3 bne tp,t0,1ac + 1cc: 0f001eb7 lui t4,0xf001 + 1d0: f00e8e93 addi t4,t4,-256 # f000f00 + 1d4: 00c00193 li gp,12 + 1d8: 2fdf1263 bne t5,t4,4bc -000001e0 : - 1e0: 00000213 li tp,0 - 1e4: 0ff010b7 lui ra,0xff01 - 1e8: ff008093 addi ra,ra,-16 # ff00ff0 - 1ec: f0f0f137 lui sp,0xf0f0f - 1f0: 0f010113 addi sp,sp,240 # f0f0f0f0 - 1f4: 00000013 nop - 1f8: 0020ff33 and t5,ra,sp - 1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 200: 00200293 li t0,2 - 204: fe5210e3 bne tp,t0,1e4 - 208: 00f00eb7 lui t4,0xf00 - 20c: 0f0e8e93 addi t4,t4,240 # f000f0 - 210: 00d00193 li gp,13 - 214: 2bdf1663 bne t5,t4,4c0 +000001dc : + 1dc: 00000213 li tp,0 + 1e0: 0ff010b7 lui ra,0xff01 + 1e4: ff008093 addi ra,ra,-16 # ff00ff0 + 1e8: f0f0f137 lui sp,0xf0f0f + 1ec: 0f010113 addi sp,sp,240 # f0f0f0f0 + 1f0: 00000013 nop + 1f4: 0020ff33 and t5,ra,sp + 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1fc: 00200293 li t0,2 + 200: fe5210e3 bne tp,t0,1e0 + 204: 00f00eb7 lui t4,0xf00 + 208: 0f0e8e93 addi t4,t4,240 # f000f0 + 20c: 00d00193 li gp,13 + 210: 2bdf1663 bne t5,t4,4bc -00000218 : - 218: 00000213 li tp,0 - 21c: 00ff00b7 lui ra,0xff0 - 220: 0ff08093 addi ra,ra,255 # ff00ff - 224: 0f0f1137 lui sp,0xf0f1 - 228: f0f10113 addi sp,sp,-241 # f0f0f0f +00000214 : + 214: 00000213 li tp,0 + 218: 00ff00b7 lui ra,0xff0 + 21c: 0ff08093 addi ra,ra,255 # ff00ff + 220: 0f0f1137 lui sp,0xf0f1 + 224: f0f10113 addi sp,sp,-241 # f0f0f0f + 228: 00000013 nop 22c: 00000013 nop - 230: 00000013 nop - 234: 0020ff33 and t5,ra,sp - 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 23c: 00200293 li t0,2 - 240: fc521ee3 bne tp,t0,21c - 244: 000f0eb7 lui t4,0xf0 - 248: 00fe8e93 addi t4,t4,15 # f000f - 24c: 00e00193 li gp,14 - 250: 27df1863 bne t5,t4,4c0 + 230: 0020ff33 and t5,ra,sp + 234: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 238: 00200293 li t0,2 + 23c: fc521ee3 bne tp,t0,218 + 240: 000f0eb7 lui t4,0xf0 + 244: 00fe8e93 addi t4,t4,15 # f000f + 248: 00e00193 li gp,14 + 24c: 27df1863 bne t5,t4,4bc -00000254 : - 254: 00000213 li tp,0 - 258: ff0100b7 lui ra,0xff010 - 25c: f0008093 addi ra,ra,-256 # ff00ff00 - 260: 00000013 nop - 264: 0f0f1137 lui sp,0xf0f1 - 268: f0f10113 addi sp,sp,-241 # f0f0f0f - 26c: 0020ff33 and t5,ra,sp - 270: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 274: 00200293 li t0,2 - 278: fe5210e3 bne tp,t0,258 - 27c: 0f001eb7 lui t4,0xf001 - 280: f00e8e93 addi t4,t4,-256 # f000f00 - 284: 00f00193 li gp,15 - 288: 23df1c63 bne t5,t4,4c0 +00000250 : + 250: 00000213 li tp,0 + 254: ff0100b7 lui ra,0xff010 + 258: f0008093 addi ra,ra,-256 # ff00ff00 + 25c: 00000013 nop + 260: 0f0f1137 lui sp,0xf0f1 + 264: f0f10113 addi sp,sp,-241 # f0f0f0f + 268: 0020ff33 and t5,ra,sp + 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 270: 00200293 li t0,2 + 274: fe5210e3 bne tp,t0,254 + 278: 0f001eb7 lui t4,0xf001 + 27c: f00e8e93 addi t4,t4,-256 # f000f00 + 280: 00f00193 li gp,15 + 284: 23df1c63 bne t5,t4,4bc -0000028c : - 28c: 00000213 li tp,0 - 290: 0ff010b7 lui ra,0xff01 - 294: ff008093 addi ra,ra,-16 # ff00ff0 - 298: 00000013 nop - 29c: f0f0f137 lui sp,0xf0f0f - 2a0: 0f010113 addi sp,sp,240 # f0f0f0f0 - 2a4: 00000013 nop - 2a8: 0020ff33 and t5,ra,sp - 2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2b0: 00200293 li t0,2 - 2b4: fc521ee3 bne tp,t0,290 - 2b8: 00f00eb7 lui t4,0xf00 - 2bc: 0f0e8e93 addi t4,t4,240 # f000f0 - 2c0: 01000193 li gp,16 - 2c4: 1fdf1e63 bne t5,t4,4c0 +00000288 : + 288: 00000213 li tp,0 + 28c: 0ff010b7 lui ra,0xff01 + 290: ff008093 addi ra,ra,-16 # ff00ff0 + 294: 00000013 nop + 298: f0f0f137 lui sp,0xf0f0f + 29c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 2a0: 00000013 nop + 2a4: 0020ff33 and t5,ra,sp + 2a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2ac: 00200293 li t0,2 + 2b0: fc521ee3 bne tp,t0,28c + 2b4: 00f00eb7 lui t4,0xf00 + 2b8: 0f0e8e93 addi t4,t4,240 # f000f0 + 2bc: 01000193 li gp,16 + 2c0: 1fdf1e63 bne t5,t4,4bc -000002c8 : - 2c8: 00000213 li tp,0 - 2cc: 00ff00b7 lui ra,0xff0 - 2d0: 0ff08093 addi ra,ra,255 # ff00ff +000002c4 : + 2c4: 00000213 li tp,0 + 2c8: 00ff00b7 lui ra,0xff0 + 2cc: 0ff08093 addi ra,ra,255 # ff00ff + 2d0: 00000013 nop 2d4: 00000013 nop - 2d8: 00000013 nop - 2dc: 0f0f1137 lui sp,0xf0f1 - 2e0: f0f10113 addi sp,sp,-241 # f0f0f0f - 2e4: 0020ff33 and t5,ra,sp - 2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2ec: 00200293 li t0,2 - 2f0: fc521ee3 bne tp,t0,2cc - 2f4: 000f0eb7 lui t4,0xf0 - 2f8: 00fe8e93 addi t4,t4,15 # f000f - 2fc: 01100193 li gp,17 - 300: 1ddf1063 bne t5,t4,4c0 + 2d8: 0f0f1137 lui sp,0xf0f1 + 2dc: f0f10113 addi sp,sp,-241 # f0f0f0f + 2e0: 0020ff33 and t5,ra,sp + 2e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2e8: 00200293 li t0,2 + 2ec: fc521ee3 bne tp,t0,2c8 + 2f0: 000f0eb7 lui t4,0xf0 + 2f4: 00fe8e93 addi t4,t4,15 # f000f + 2f8: 01100193 li gp,17 + 2fc: 1ddf1063 bne t5,t4,4bc -00000304 : - 304: 00000213 li tp,0 - 308: 0f0f1137 lui sp,0xf0f1 - 30c: f0f10113 addi sp,sp,-241 # f0f0f0f - 310: ff0100b7 lui ra,0xff010 - 314: f0008093 addi ra,ra,-256 # ff00ff00 - 318: 0020ff33 and t5,ra,sp - 31c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 320: 00200293 li t0,2 - 324: fe5212e3 bne tp,t0,308 - 328: 0f001eb7 lui t4,0xf001 - 32c: f00e8e93 addi t4,t4,-256 # f000f00 - 330: 01200193 li gp,18 - 334: 19df1663 bne t5,t4,4c0 +00000300 : + 300: 00000213 li tp,0 + 304: 0f0f1137 lui sp,0xf0f1 + 308: f0f10113 addi sp,sp,-241 # f0f0f0f + 30c: ff0100b7 lui ra,0xff010 + 310: f0008093 addi ra,ra,-256 # ff00ff00 + 314: 0020ff33 and t5,ra,sp + 318: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 31c: 00200293 li t0,2 + 320: fe5212e3 bne tp,t0,304 + 324: 0f001eb7 lui t4,0xf001 + 328: f00e8e93 addi t4,t4,-256 # f000f00 + 32c: 01200193 li gp,18 + 330: 19df1663 bne t5,t4,4bc -00000338 : - 338: 00000213 li tp,0 - 33c: f0f0f137 lui sp,0xf0f0f - 340: 0f010113 addi sp,sp,240 # f0f0f0f0 - 344: 0ff010b7 lui ra,0xff01 - 348: ff008093 addi ra,ra,-16 # ff00ff0 - 34c: 00000013 nop - 350: 0020ff33 and t5,ra,sp - 354: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 358: 00200293 li t0,2 - 35c: fe5210e3 bne tp,t0,33c - 360: 00f00eb7 lui t4,0xf00 - 364: 0f0e8e93 addi t4,t4,240 # f000f0 - 368: 01300193 li gp,19 - 36c: 15df1a63 bne t5,t4,4c0 +00000334 : + 334: 00000213 li tp,0 + 338: f0f0f137 lui sp,0xf0f0f + 33c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 340: 0ff010b7 lui ra,0xff01 + 344: ff008093 addi ra,ra,-16 # ff00ff0 + 348: 00000013 nop + 34c: 0020ff33 and t5,ra,sp + 350: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 354: 00200293 li t0,2 + 358: fe5210e3 bne tp,t0,338 + 35c: 00f00eb7 lui t4,0xf00 + 360: 0f0e8e93 addi t4,t4,240 # f000f0 + 364: 01300193 li gp,19 + 368: 15df1a63 bne t5,t4,4bc -00000370 : - 370: 00000213 li tp,0 - 374: 0f0f1137 lui sp,0xf0f1 - 378: f0f10113 addi sp,sp,-241 # f0f0f0f - 37c: 00ff00b7 lui ra,0xff0 - 380: 0ff08093 addi ra,ra,255 # ff00ff +0000036c : + 36c: 00000213 li tp,0 + 370: 0f0f1137 lui sp,0xf0f1 + 374: f0f10113 addi sp,sp,-241 # f0f0f0f + 378: 00ff00b7 lui ra,0xff0 + 37c: 0ff08093 addi ra,ra,255 # ff00ff + 380: 00000013 nop 384: 00000013 nop - 388: 00000013 nop - 38c: 0020ff33 and t5,ra,sp - 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 394: 00200293 li t0,2 - 398: fc521ee3 bne tp,t0,374 - 39c: 000f0eb7 lui t4,0xf0 - 3a0: 00fe8e93 addi t4,t4,15 # f000f - 3a4: 01400193 li gp,20 - 3a8: 11df1c63 bne t5,t4,4c0 + 388: 0020ff33 and t5,ra,sp + 38c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 390: 00200293 li t0,2 + 394: fc521ee3 bne tp,t0,370 + 398: 000f0eb7 lui t4,0xf0 + 39c: 00fe8e93 addi t4,t4,15 # f000f + 3a0: 01400193 li gp,20 + 3a4: 11df1c63 bne t5,t4,4bc -000003ac : - 3ac: 00000213 li tp,0 - 3b0: 0f0f1137 lui sp,0xf0f1 - 3b4: f0f10113 addi sp,sp,-241 # f0f0f0f - 3b8: 00000013 nop - 3bc: ff0100b7 lui ra,0xff010 - 3c0: f0008093 addi ra,ra,-256 # ff00ff00 - 3c4: 0020ff33 and t5,ra,sp - 3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3cc: 00200293 li t0,2 - 3d0: fe5210e3 bne tp,t0,3b0 - 3d4: 0f001eb7 lui t4,0xf001 - 3d8: f00e8e93 addi t4,t4,-256 # f000f00 - 3dc: 01500193 li gp,21 - 3e0: 0fdf1063 bne t5,t4,4c0 +000003a8 : + 3a8: 00000213 li tp,0 + 3ac: 0f0f1137 lui sp,0xf0f1 + 3b0: f0f10113 addi sp,sp,-241 # f0f0f0f + 3b4: 00000013 nop + 3b8: ff0100b7 lui ra,0xff010 + 3bc: f0008093 addi ra,ra,-256 # ff00ff00 + 3c0: 0020ff33 and t5,ra,sp + 3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c8: 00200293 li t0,2 + 3cc: fe5210e3 bne tp,t0,3ac + 3d0: 0f001eb7 lui t4,0xf001 + 3d4: f00e8e93 addi t4,t4,-256 # f000f00 + 3d8: 01500193 li gp,21 + 3dc: 0fdf1063 bne t5,t4,4bc -000003e4 : - 3e4: 00000213 li tp,0 - 3e8: f0f0f137 lui sp,0xf0f0f - 3ec: 0f010113 addi sp,sp,240 # f0f0f0f0 - 3f0: 00000013 nop - 3f4: 0ff010b7 lui ra,0xff01 - 3f8: ff008093 addi ra,ra,-16 # ff00ff0 - 3fc: 00000013 nop - 400: 0020ff33 and t5,ra,sp - 404: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 408: 00200293 li t0,2 - 40c: fc521ee3 bne tp,t0,3e8 - 410: 00f00eb7 lui t4,0xf00 - 414: 0f0e8e93 addi t4,t4,240 # f000f0 - 418: 01600193 li gp,22 - 41c: 0bdf1263 bne t5,t4,4c0 +000003e0 : + 3e0: 00000213 li tp,0 + 3e4: f0f0f137 lui sp,0xf0f0f + 3e8: 0f010113 addi sp,sp,240 # f0f0f0f0 + 3ec: 00000013 nop + 3f0: 0ff010b7 lui ra,0xff01 + 3f4: ff008093 addi ra,ra,-16 # ff00ff0 + 3f8: 00000013 nop + 3fc: 0020ff33 and t5,ra,sp + 400: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 404: 00200293 li t0,2 + 408: fc521ee3 bne tp,t0,3e4 + 40c: 00f00eb7 lui t4,0xf00 + 410: 0f0e8e93 addi t4,t4,240 # f000f0 + 414: 01600193 li gp,22 + 418: 0bdf1263 bne t5,t4,4bc -00000420 : - 420: 00000213 li tp,0 - 424: 0f0f1137 lui sp,0xf0f1 - 428: f0f10113 addi sp,sp,-241 # f0f0f0f +0000041c : + 41c: 00000213 li tp,0 + 420: 0f0f1137 lui sp,0xf0f1 + 424: f0f10113 addi sp,sp,-241 # f0f0f0f + 428: 00000013 nop 42c: 00000013 nop - 430: 00000013 nop - 434: 00ff00b7 lui ra,0xff0 - 438: 0ff08093 addi ra,ra,255 # ff00ff - 43c: 0020ff33 and t5,ra,sp - 440: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 444: 00200293 li t0,2 - 448: fc521ee3 bne tp,t0,424 - 44c: 000f0eb7 lui t4,0xf0 - 450: 00fe8e93 addi t4,t4,15 # f000f - 454: 01700193 li gp,23 - 458: 07df1463 bne t5,t4,4c0 + 430: 00ff00b7 lui ra,0xff0 + 434: 0ff08093 addi ra,ra,255 # ff00ff + 438: 0020ff33 and t5,ra,sp + 43c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 440: 00200293 li t0,2 + 444: fc521ee3 bne tp,t0,420 + 448: 000f0eb7 lui t4,0xf0 + 44c: 00fe8e93 addi t4,t4,15 # f000f + 450: 01700193 li gp,23 + 454: 07df1463 bne t5,t4,4bc -0000045c : - 45c: ff0100b7 lui ra,0xff010 - 460: f0008093 addi ra,ra,-256 # ff00ff00 - 464: 00107133 and sp,zero,ra - 468: 00000e93 li t4,0 - 46c: 01800193 li gp,24 - 470: 05d11863 bne sp,t4,4c0 +00000458 : + 458: ff0100b7 lui ra,0xff010 + 45c: f0008093 addi ra,ra,-256 # ff00ff00 + 460: 00107133 and sp,zero,ra + 464: 00000e93 li t4,0 + 468: 01800193 li gp,24 + 46c: 05d11863 bne sp,t4,4bc -00000474 : - 474: 00ff00b7 lui ra,0xff0 - 478: 0ff08093 addi ra,ra,255 # ff00ff - 47c: 0000f133 and sp,ra,zero - 480: 00000e93 li t4,0 - 484: 01900193 li gp,25 - 488: 03d11c63 bne sp,t4,4c0 +00000470 : + 470: 00ff00b7 lui ra,0xff0 + 474: 0ff08093 addi ra,ra,255 # ff00ff + 478: 0000f133 and sp,ra,zero + 47c: 00000e93 li t4,0 + 480: 01900193 li gp,25 + 484: 03d11c63 bne sp,t4,4bc -0000048c : - 48c: 000070b3 and ra,zero,zero - 490: 00000e93 li t4,0 - 494: 01a00193 li gp,26 - 498: 03d09463 bne ra,t4,4c0 +00000488 : + 488: 000070b3 and ra,zero,zero + 48c: 00000e93 li t4,0 + 490: 01a00193 li gp,26 + 494: 03d09463 bne ra,t4,4bc -0000049c : - 49c: 111110b7 lui ra,0x11111 - 4a0: 11108093 addi ra,ra,273 # 11111111 - 4a4: 22222137 lui sp,0x22222 - 4a8: 22210113 addi sp,sp,546 # 22222222 - 4ac: 0020f033 and zero,ra,sp - 4b0: 00000e93 li t4,0 - 4b4: 01b00193 li gp,27 - 4b8: 01d01463 bne zero,t4,4c0 - 4bc: 00301863 bne zero,gp,4cc +00000498 : + 498: 111110b7 lui ra,0x11111 + 49c: 11108093 addi ra,ra,273 # 11111111 + 4a0: 22222137 lui sp,0x22222 + 4a4: 22210113 addi sp,sp,546 # 22222222 + 4a8: 0020f033 and zero,ra,sp + 4ac: 00000e93 li t4,0 + 4b0: 01b00193 li gp,27 + 4b4: 01d01463 bne zero,t4,4bc + 4b8: 00301663 bne zero,gp,4c4 -000004c0 : - 4c0: 00000d93 li s11,0 - 4c4: 00100d13 li s10,1 +000004bc : + 4bc: 1000d073 csrwi sstatus,1 -000004c8 : - 4c8: 0000006f j 4c8 +000004c0 : + 4c0: 0000006f j 4c0 -000004cc : - 4cc: 00100d93 li s11,1 - 4d0: 00100d13 li s10,1 +000004c4 : + 4c4: 1001d073 csrwi sstatus,3 -000004d4 : - 4d4: 0000006f j 4d4 +000004c8 : + 4c8: 0000006f j 4c8 ... Disassembly of section .tohost: @@ -388,14 +385,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-and.mem b/tests/isa/generated/rv32ui-p-and.mem index 6e7510b..7a41a80 100644 --- a/tests/isa/generated/rv32ui-p-and.mem +++ b/tests/isa/generated/rv32ui-p-and.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 ff0100b7 f0008093 0f0f1137 @@ -301,12 +300,10 @@ f0008093 00000e93 01b00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ f0008093 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-and.verilog b/tests/isa/generated/rv32ui-p-and.verilog index 420722a..5cfaf98 100755 --- a/tests/isa/generated/rv32ui-p-and.verilog +++ b/tests/isa/generated/rv32ui-p-and.verilog @@ -1,82 +1,82 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 FF 20 00 B7 1E 00 0F -93 8E 0E F0 93 01 20 00 63 1C DF 49 B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 FF 20 00 -B7 0E F0 00 93 8E 0E 0F 93 01 30 00 63 1A DF 47 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 B7 0E 0F 00 93 8E FE 00 93 01 40 00 -63 18 DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 FF 20 00 B7 FE 00 F0 93 01 50 00 -63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F -13 01 F1 F0 B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 -93 01 60 00 63 96 D0 41 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 33 F1 20 00 B7 0E F0 00 -93 8E 0E 0F 93 01 70 00 63 14 D1 3F B7 00 01 FF -93 80 00 F0 B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 -93 01 80 00 63 96 D0 3D 13 02 00 00 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 1E 00 0F 93 8E 0E F0 93 01 90 00 63 1A D3 39 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 33 FF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 -93 8E 0E 0F 93 01 A0 00 63 1C D3 35 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1C 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 B0 00 63 1C D3 31 13 02 00 00 +73 50 00 10 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 33 FF 20 00 B7 1E 00 0F 93 8E 0E F0 +93 01 20 00 63 1C DF 49 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 FF 20 00 B7 0E F0 00 +93 8E 0E 0F 93 01 30 00 63 1A DF 47 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +B7 0E 0F 00 93 8E FE 00 93 01 40 00 63 18 DF 45 +B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 13 01 01 0F +33 FF 20 00 B7 FE 00 F0 93 01 50 00 63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 1E 00 0F 93 8E 0E F0 93 01 C0 00 63 12 DF 2F -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E F0 00 93 8E 0E 0F -93 01 D0 00 63 16 DF 2B 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 E0 00 -63 18 DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 -13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 93 01 60 00 +63 96 D0 41 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 33 F1 20 00 B7 0E F0 00 93 8E 0E 0F +93 01 70 00 63 14 D1 3F B7 00 01 FF 93 80 00 F0 +B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 93 01 80 00 +63 96 D0 3D 13 02 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 FF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE B7 1E 00 0F -93 8E 0E F0 93 01 F0 00 63 1C DF 23 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 +93 8E 0E F0 93 01 90 00 63 1A D3 39 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 13 01 01 0F +33 FF 20 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F -93 01 00 01 63 1E DF 1F 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F -13 01 F1 F0 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 10 01 -63 10 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 01 FF 93 80 00 F0 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 -93 01 20 01 63 16 DF 19 13 02 00 00 37 F1 F0 F0 -13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E F0 00 93 8E 0E 0F 93 01 30 01 63 1A DF 15 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 40 01 63 1C DF 11 13 02 00 00 -37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF -93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 50 01 -63 10 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F -13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +93 01 A0 00 63 1C D3 35 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 1C 52 FC B7 0E 0F 00 93 8E FE 00 +93 01 B0 00 63 1C D3 31 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 1E 00 0F +93 8E 0E F0 93 01 C0 00 63 12 DF 2F 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 13 01 01 0F +13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E F0 00 93 8E 0E 0F 93 01 D0 00 +63 16 DF 2B 13 02 00 00 B7 00 FF 00 93 80 F0 0F +37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E F0 00 93 8E 0E 0F 93 01 60 01 63 12 DF 0B -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 70 01 63 14 DF 07 B7 00 01 FF -93 80 00 F0 33 71 10 00 93 0E 00 00 93 01 80 01 -63 18 D1 05 B7 00 FF 00 93 80 F0 0F 33 F1 00 00 -93 0E 00 00 93 01 90 01 63 1C D1 03 B3 70 00 00 -93 0E 00 00 93 01 A0 01 63 94 D0 03 B7 10 11 11 -93 80 10 11 37 21 22 22 13 01 21 22 33 F0 20 00 -93 0E 00 00 93 01 B0 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +B7 0E 0F 00 93 8E FE 00 93 01 E0 00 63 18 DF 27 +13 02 00 00 B7 00 01 FF 93 80 00 F0 13 00 00 00 +37 11 0F 0F 13 01 F1 F0 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 +93 01 F0 00 63 1C DF 23 13 02 00 00 B7 10 F0 0F +93 80 00 FF 13 00 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F 93 01 00 01 +63 1E DF 1F 13 02 00 00 B7 00 FF 00 93 80 F0 0F +13 00 00 00 13 00 00 00 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E 0F 00 93 8E FE 00 93 01 10 01 63 10 DF 1D +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 01 FF +93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 20 01 +63 16 DF 19 13 02 00 00 37 F1 F0 F0 13 01 01 0F +B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F0 00 +93 8E 0E 0F 93 01 30 01 63 1A DF 15 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 93 80 F0 0F +13 00 00 00 13 00 00 00 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 +93 01 40 01 63 1C DF 11 13 02 00 00 37 11 0F 0F +13 01 F1 F0 13 00 00 00 B7 00 01 FF 93 80 00 F0 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 1E 00 0F 93 8E 0E F0 93 01 50 01 63 10 DF 0F +13 02 00 00 37 F1 F0 F0 13 01 01 0F 13 00 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 +93 8E 0E 0F 93 01 60 01 63 12 DF 0B 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00 +B7 00 FF 00 93 80 F0 0F 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 +93 01 70 01 63 14 DF 07 B7 00 01 FF 93 80 00 F0 +33 71 10 00 93 0E 00 00 93 01 80 01 63 18 D1 05 +B7 00 FF 00 93 80 F0 0F 33 F1 00 00 93 0E 00 00 +93 01 90 01 63 1C D1 03 B3 70 00 00 93 0E 00 00 +93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11 +37 21 22 22 13 01 21 22 33 F0 20 00 93 0E 00 00 +93 01 B0 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-andi b/tests/isa/generated/rv32ui-p-andi index 53d8e000fa2ea63df76c144a2d16d652f6334cc4..5e9b2d4412045a37bc35ca54547211a0a5d1fe24 100755 GIT binary patch delta 380 zcmeyN^G9cb0%OWX#rym$#Q_Wgn>hsbG75+pFce>45Xfg>0Md*C`4bbj%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$p?fi>REs?5WvV#2xJ^#MDRhn6kuEiMg}P$ z+X9KN2xJE!@il<#3?#ljklld9Hv_WQAn|P(80s1BAPKkt*&;{|@B#8|koX}$eg+ah z2FRa*#7_b8S0M4Lf&4Q_{2UsC6FB81LQj(@j;g5An{{> z{5eSc6d->M62BVAzk: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: ff0100b7 lui ra,0xff010 - c: f0008093 addi ra,ra,-256 # ff00ff00 - 10: f0f0ff13 andi t5,ra,-241 - 14: ff010eb7 lui t4,0xff010 - 18: f00e8e93 addi t4,t4,-256 # ff00ff00 - 1c: 00200193 li gp,2 - 20: 1bdf1463 bne t5,t4,1c8 +00000004 : + 4: ff0100b7 lui ra,0xff010 + 8: f0008093 addi ra,ra,-256 # ff00ff00 + c: f0f0ff13 andi t5,ra,-241 + 10: ff010eb7 lui t4,0xff010 + 14: f00e8e93 addi t4,t4,-256 # ff00ff00 + 18: 00200193 li gp,2 + 1c: 1bdf1463 bne t5,t4,1c4 -00000024 : - 24: 0ff010b7 lui ra,0xff01 - 28: ff008093 addi ra,ra,-16 # ff00ff0 - 2c: 0f00ff13 andi t5,ra,240 - 30: 0f000e93 li t4,240 - 34: 00300193 li gp,3 - 38: 19df1863 bne t5,t4,1c8 +00000020 : + 20: 0ff010b7 lui ra,0xff01 + 24: ff008093 addi ra,ra,-16 # ff00ff0 + 28: 0f00ff13 andi t5,ra,240 + 2c: 0f000e93 li t4,240 + 30: 00300193 li gp,3 + 34: 19df1863 bne t5,t4,1c4 -0000003c : - 3c: 00ff00b7 lui ra,0xff0 - 40: 0ff08093 addi ra,ra,255 # ff00ff - 44: 70f0ff13 andi t5,ra,1807 - 48: 00f00e93 li t4,15 - 4c: 00400193 li gp,4 - 50: 17df1c63 bne t5,t4,1c8 +00000038 : + 38: 00ff00b7 lui ra,0xff0 + 3c: 0ff08093 addi ra,ra,255 # ff00ff + 40: 70f0ff13 andi t5,ra,1807 + 44: 00f00e93 li t4,15 + 48: 00400193 li gp,4 + 4c: 17df1c63 bne t5,t4,1c4 -00000054 : - 54: f00ff0b7 lui ra,0xf00ff - 58: 00f08093 addi ra,ra,15 # f00ff00f - 5c: 0f00ff13 andi t5,ra,240 - 60: 00000e93 li t4,0 - 64: 00500193 li gp,5 - 68: 17df1063 bne t5,t4,1c8 +00000050 : + 50: f00ff0b7 lui ra,0xf00ff + 54: 00f08093 addi ra,ra,15 # f00ff00f + 58: 0f00ff13 andi t5,ra,240 + 5c: 00000e93 li t4,0 + 60: 00500193 li gp,5 + 64: 17df1063 bne t5,t4,1c4 -0000006c : - 6c: ff0100b7 lui ra,0xff010 - 70: f0008093 addi ra,ra,-256 # ff00ff00 - 74: 0f00f093 andi ra,ra,240 - 78: 00000e93 li t4,0 - 7c: 00600193 li gp,6 - 80: 15d09463 bne ra,t4,1c8 +00000068 : + 68: ff0100b7 lui ra,0xff010 + 6c: f0008093 addi ra,ra,-256 # ff00ff00 + 70: 0f00f093 andi ra,ra,240 + 74: 00000e93 li t4,0 + 78: 00600193 li gp,6 + 7c: 15d09463 bne ra,t4,1c4 -00000084 : - 84: 00000213 li tp,0 - 88: 0ff010b7 lui ra,0xff01 - 8c: ff008093 addi ra,ra,-16 # ff00ff0 - 90: 70f0ff13 andi t5,ra,1807 - 94: 000f0313 mv t1,t5 - 98: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 9c: 00200293 li t0,2 - a0: fe5214e3 bne tp,t0,88 - a4: 70000e93 li t4,1792 - a8: 00700193 li gp,7 - ac: 11d31e63 bne t1,t4,1c8 +00000080 : + 80: 00000213 li tp,0 + 84: 0ff010b7 lui ra,0xff01 + 88: ff008093 addi ra,ra,-16 # ff00ff0 + 8c: 70f0ff13 andi t5,ra,1807 + 90: 000f0313 mv t1,t5 + 94: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 98: 00200293 li t0,2 + 9c: fe5214e3 bne tp,t0,84 + a0: 70000e93 li t4,1792 + a4: 00700193 li gp,7 + a8: 11d31e63 bne t1,t4,1c4 -000000b0 : - b0: 00000213 li tp,0 - b4: 00ff00b7 lui ra,0xff0 - b8: 0ff08093 addi ra,ra,255 # ff00ff - bc: 0f00ff13 andi t5,ra,240 - c0: 00000013 nop - c4: 000f0313 mv t1,t5 - c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - cc: 00200293 li t0,2 - d0: fe5212e3 bne tp,t0,b4 - d4: 0f000e93 li t4,240 - d8: 00800193 li gp,8 - dc: 0fd31663 bne t1,t4,1c8 +000000ac : + ac: 00000213 li tp,0 + b0: 00ff00b7 lui ra,0xff0 + b4: 0ff08093 addi ra,ra,255 # ff00ff + b8: 0f00ff13 andi t5,ra,240 + bc: 00000013 nop + c0: 000f0313 mv t1,t5 + c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + c8: 00200293 li t0,2 + cc: fe5212e3 bne tp,t0,b0 + d0: 0f000e93 li t4,240 + d4: 00800193 li gp,8 + d8: 0fd31663 bne t1,t4,1c4 -000000e0 : - e0: 00000213 li tp,0 - e4: f00ff0b7 lui ra,0xf00ff - e8: 00f08093 addi ra,ra,15 # f00ff00f - ec: f0f0ff13 andi t5,ra,-241 +000000dc : + dc: 00000213 li tp,0 + e0: f00ff0b7 lui ra,0xf00ff + e4: 00f08093 addi ra,ra,15 # f00ff00f + e8: f0f0ff13 andi t5,ra,-241 + ec: 00000013 nop f0: 00000013 nop - f4: 00000013 nop - f8: 000f0313 mv t1,t5 - fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 100: 00200293 li t0,2 - 104: fe5210e3 bne tp,t0,e4 - 108: f00ffeb7 lui t4,0xf00ff - 10c: 00fe8e93 addi t4,t4,15 # f00ff00f - 110: 00900193 li gp,9 - 114: 0bd31a63 bne t1,t4,1c8 + f4: 000f0313 mv t1,t5 + f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + fc: 00200293 li t0,2 + 100: fe5210e3 bne tp,t0,e0 + 104: f00ffeb7 lui t4,0xf00ff + 108: 00fe8e93 addi t4,t4,15 # f00ff00f + 10c: 00900193 li gp,9 + 110: 0bd31a63 bne t1,t4,1c4 -00000118 : - 118: 00000213 li tp,0 - 11c: 0ff010b7 lui ra,0xff01 - 120: ff008093 addi ra,ra,-16 # ff00ff0 - 124: 70f0ff13 andi t5,ra,1807 - 128: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 12c: 00200293 li t0,2 - 130: fe5216e3 bne tp,t0,11c - 134: 70000e93 li t4,1792 - 138: 00a00193 li gp,10 - 13c: 09df1663 bne t5,t4,1c8 +00000114 : + 114: 00000213 li tp,0 + 118: 0ff010b7 lui ra,0xff01 + 11c: ff008093 addi ra,ra,-16 # ff00ff0 + 120: 70f0ff13 andi t5,ra,1807 + 124: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 128: 00200293 li t0,2 + 12c: fe5216e3 bne tp,t0,118 + 130: 70000e93 li t4,1792 + 134: 00a00193 li gp,10 + 138: 09df1663 bne t5,t4,1c4 -00000140 : - 140: 00000213 li tp,0 - 144: 00ff00b7 lui ra,0xff0 - 148: 0ff08093 addi ra,ra,255 # ff00ff - 14c: 00000013 nop - 150: 0f00ff13 andi t5,ra,240 - 154: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 158: 00200293 li t0,2 - 15c: fe5214e3 bne tp,t0,144 - 160: 0f000e93 li t4,240 - 164: 00b00193 li gp,11 - 168: 07df1063 bne t5,t4,1c8 +0000013c : + 13c: 00000213 li tp,0 + 140: 00ff00b7 lui ra,0xff0 + 144: 0ff08093 addi ra,ra,255 # ff00ff + 148: 00000013 nop + 14c: 0f00ff13 andi t5,ra,240 + 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 154: 00200293 li t0,2 + 158: fe5214e3 bne tp,t0,140 + 15c: 0f000e93 li t4,240 + 160: 00b00193 li gp,11 + 164: 07df1063 bne t5,t4,1c4 -0000016c : - 16c: 00000213 li tp,0 - 170: f00ff0b7 lui ra,0xf00ff - 174: 00f08093 addi ra,ra,15 # f00ff00f +00000168 : + 168: 00000213 li tp,0 + 16c: f00ff0b7 lui ra,0xf00ff + 170: 00f08093 addi ra,ra,15 # f00ff00f + 174: 00000013 nop 178: 00000013 nop - 17c: 00000013 nop - 180: 70f0ff13 andi t5,ra,1807 - 184: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 188: 00200293 li t0,2 - 18c: fe5212e3 bne tp,t0,170 - 190: 00f00e93 li t4,15 - 194: 00c00193 li gp,12 - 198: 03df1863 bne t5,t4,1c8 + 17c: 70f0ff13 andi t5,ra,1807 + 180: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 184: 00200293 li t0,2 + 188: fe5212e3 bne tp,t0,16c + 18c: 00f00e93 li t4,15 + 190: 00c00193 li gp,12 + 194: 03df1863 bne t5,t4,1c4 -0000019c : - 19c: 0f007093 andi ra,zero,240 - 1a0: 00000e93 li t4,0 - 1a4: 00d00193 li gp,13 - 1a8: 03d09063 bne ra,t4,1c8 +00000198 : + 198: 0f007093 andi ra,zero,240 + 19c: 00000e93 li t4,0 + 1a0: 00d00193 li gp,13 + 1a4: 03d09063 bne ra,t4,1c4 -000001ac : - 1ac: 00ff00b7 lui ra,0xff0 - 1b0: 0ff08093 addi ra,ra,255 # ff00ff - 1b4: 70f0f013 andi zero,ra,1807 - 1b8: 00000e93 li t4,0 - 1bc: 00e00193 li gp,14 - 1c0: 01d01463 bne zero,t4,1c8 - 1c4: 00301863 bne zero,gp,1d4 +000001a8 : + 1a8: 00ff00b7 lui ra,0xff0 + 1ac: 0ff08093 addi ra,ra,255 # ff00ff + 1b0: 70f0f013 andi zero,ra,1807 + 1b4: 00000e93 li t4,0 + 1b8: 00e00193 li gp,14 + 1bc: 01d01463 bne zero,t4,1c4 + 1c0: 00301663 bne zero,gp,1cc -000001c8 : - 1c8: 00000d93 li s11,0 - 1cc: 00100d13 li s10,1 +000001c4 : + 1c4: 1000d073 csrwi sstatus,1 -000001d0 : - 1d0: 0000006f j 1d0 +000001c8 : + 1c8: 0000006f j 1c8 -000001d4 : - 1d4: 00100d93 li s11,1 - 1d8: 00100d13 li s10,1 +000001cc : + 1cc: 1001d073 csrwi sstatus,3 -000001dc : - 1dc: 0000006f j 1dc +000001d0 : + 1d0: 0000006f j 1d0 ... Disassembly of section .tohost: @@ -172,14 +169,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-andi.mem b/tests/isa/generated/rv32ui-p-andi.mem index 26f6d58..38ea6e8 100644 --- a/tests/isa/generated/rv32ui-p-andi.mem +++ b/tests/isa/generated/rv32ui-p-andi.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 ff0100b7 f0008093 f0f0ff13 @@ -111,12 +110,10 @@ fe5212e3 00000e93 00e00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -160,3 +157,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-andi.verilog b/tests/isa/generated/rv32ui-p-andi.verilog index d3f5837..cb471df 100755 --- a/tests/isa/generated/rv32ui-p-andi.verilog +++ b/tests/isa/generated/rv32ui-p-andi.verilog @@ -1,34 +1,34 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -13 FF F0 F0 B7 0E 01 FF 93 8E 0E F0 93 01 20 00 -63 14 DF 1B B7 10 F0 0F 93 80 00 FF 13 FF 00 0F -93 0E 00 0F 93 01 30 00 63 18 DF 19 B7 00 FF 00 -93 80 F0 0F 13 FF F0 70 93 0E F0 00 93 01 40 00 -63 1C DF 17 B7 F0 0F F0 93 80 F0 00 13 FF 00 0F -93 0E 00 00 93 01 50 00 63 10 DF 17 B7 00 01 FF -93 80 00 F0 93 F0 00 0F 93 0E 00 00 93 01 60 00 -63 94 D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF -13 FF F0 70 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 70 93 01 70 00 63 1E D3 11 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 FF 00 0F +73 50 00 10 B7 00 01 FF 93 80 00 F0 13 FF F0 F0 +B7 0E 01 FF 93 8E 0E F0 93 01 20 00 63 14 DF 1B +B7 10 F0 0F 93 80 00 FF 13 FF 00 0F 93 0E 00 0F +93 01 30 00 63 18 DF 19 B7 00 FF 00 93 80 F0 0F +13 FF F0 70 93 0E F0 00 93 01 40 00 63 1C DF 17 +B7 F0 0F F0 93 80 F0 00 13 FF 00 0F 93 0E 00 00 +93 01 50 00 63 10 DF 17 B7 00 01 FF 93 80 00 F0 +93 F0 00 0F 93 0E 00 00 93 01 60 00 63 94 D0 15 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 FF F0 70 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 70 93 01 70 00 63 1E D3 11 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 13 FF 00 0F 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 0F 93 01 80 00 63 16 D3 0F 13 02 00 00 +B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 0F 93 01 80 00 63 16 D3 0F -13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00 -93 01 90 00 63 1A D3 0B 13 02 00 00 B7 10 F0 0F -93 80 00 FF 13 FF F0 70 13 02 12 00 93 02 20 00 -E3 16 52 FE 93 0E 00 70 93 01 A0 00 63 16 DF 09 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 00 00 00 -13 FF 00 0F 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 00 0F 93 01 B0 00 63 10 DF 07 13 02 00 00 -B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 -13 FF F0 70 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 00 93 01 C0 00 63 18 DF 03 93 70 00 0F -93 0E 00 00 93 01 D0 00 63 90 D0 03 B7 00 FF 00 -93 80 F0 0F 13 F0 F0 70 93 0E 00 00 93 01 E0 00 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 90 00 +63 1A D3 0B 13 02 00 00 B7 10 F0 0F 93 80 00 FF +13 FF F0 70 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 00 70 93 01 A0 00 63 16 DF 09 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 FF 00 0F +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 00 0F +93 01 B0 00 63 10 DF 07 13 02 00 00 B7 F0 0F F0 +93 80 F0 00 13 00 00 00 13 00 00 00 13 FF F0 70 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 00 +93 01 C0 00 63 18 DF 03 93 70 00 0F 93 0E 00 00 +93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F +13 F0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-auipc b/tests/isa/generated/rv32ui-p-auipc index f0a489710621bdfc7a718fb9f0e73c4e686c0d83..fb8089d5b5b5ebc21ca55251781b96347c55c746 100755 GIT binary patch delta 181 zcmdm@zC(S20^@>>iud{1iUSw~gc&BY2{;Rg888%IU=YY>U;xsL0{IgY^W_|6fxM#3 z;^Z<0Mqwb6wW!S4DATCGfPsUNi+f_C+$2E@MwZEzg8GUfKxqhIWRL=*lL8Nn7(d55^w;3BIGYSh&ej{YVC^vZ_kYyt*!1!Xaudq7n4?zZo46s-a LP%L5cTw!qlD2XWE delta 169 zcmdm?zD0e40^^*Giud{1gn1blCi6~a6L6N0FaWX`7=(ER81flFq5=^1&IqtI=}n#p&C{28St>k2C~KA9XStj_plawU+AnY>U~902-#BE$dy diff --git a/tests/isa/generated/rv32ui-p-auipc.bin b/tests/isa/generated/rv32ui-p-auipc.bin index 9570c41a0424253cf2c53c9046106c9911750fc4..6f1920926b7c475b5adcba9a9c8052d4f5442e7e 100755 GIT binary patch delta 36 qcmX@Xc!H6wIDkPwm|-HDGryPtL-7R$fqVuAAk8Q+F(G4O0S5q~D+wk5 delta 42 qcmX@Xc!H5ln3sWJGVeq-X9)=dAcKKHm{))yp8+H&0AWu|Oa}m^>IZrN diff --git a/tests/isa/generated/rv32ui-p-auipc.dump b/tests/isa/generated/rv32ui-p-auipc.dump index 65a8289..3202dde 100644 --- a/tests/isa/generated/rv32ui-p-auipc.dump +++ b/tests/isa/generated/rv32ui-p-auipc.dump @@ -5,13 +5,13 @@ generated/rv32ui-p-auipc: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : +00000004 : + 4: 00000013 nop 8: 00002517 auipc a0,0x2 c: 71c50513 addi a0,a0,1820 # 2724 - 10: 004005ef jal a1,14 + 10: 004005ef jal a1,14 14: 40b50533 sub a0,a0,a1 18: 00002eb7 lui t4,0x2 1c: 710e8e93 addi t4,t4,1808 # 2710 @@ -27,21 +27,19 @@ Disassembly of section .text.init: 3c: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 40: 00300193 li gp,3 44: 01d51463 bne a0,t4,4c - 48: 00301863 bne zero,gp,58 + 48: 00301663 bne zero,gp,54 0000004c : - 4c: 00000d93 li s11,0 - 50: 00100d13 li s10,1 + 4c: 1000d073 csrwi sstatus,1 -00000054 : - 54: 0000006f j 54 +00000050 : + 50: 0000006f j 50 -00000058 : - 58: 00100d93 li s11,1 - 5c: 00100d13 li s10,1 +00000054 : + 54: 1001d073 csrwi sstatus,3 -00000060 : - 60: 0000006f j 60 +00000058 : + 58: 0000006f j 58 ... Disassembly of section .tohost: @@ -55,14 +53,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-auipc.mem b/tests/isa/generated/rv32ui-p-auipc.mem index c6a5624..efe1d31 100644 --- a/tests/isa/generated/rv32ui-p-auipc.mem +++ b/tests/isa/generated/rv32ui-p-auipc.mem @@ -1,5 +1,5 @@ -00000d13 -00000d93 +10005073 +00000013 00002517 71c50513 004005ef @@ -16,12 +16,10 @@ ffffeeb7 8f0e8e93 00300193 01d51463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -48,3 +46,5 @@ ffffeeb7 00000000 00000000 00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-auipc.verilog b/tests/isa/generated/rv32ui-p-auipc.verilog index 4998fd5..ff68ccb 100755 --- a/tests/isa/generated/rv32ui-p-auipc.verilog +++ b/tests/isa/generated/rv32ui-p-auipc.verilog @@ -1,12 +1,12 @@ @00000000 -13 0D 00 00 93 0D 00 00 17 25 00 00 13 05 C5 71 +73 50 00 10 13 00 00 00 17 25 00 00 13 05 C5 71 EF 05 40 00 33 05 B5 40 B7 2E 00 00 93 8E 0E 71 93 01 20 00 63 14 D5 03 17 E5 FF FF 13 05 C5 8F EF 05 40 00 33 05 B5 40 B7 EE FF FF 93 8E 0E 8F -93 01 30 00 63 14 D5 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 +93 01 30 00 63 14 D5 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-beq b/tests/isa/generated/rv32ui-p-beq index edda2e00455c7c992ba3df8c5317e6c8b1b96dad..3267b3c09acf10f7667aee95b584e7617cdd9f2a 100755 GIT binary patch delta 523 zcmZ2ru*6`30wd2x#rym$#Q_Wgn>hsbG6{$oFce>45Xfg>0Md*C`4bbj%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$p?fj>REs?5WvW=6395hgy4g8sld1lj0{ph zwhIzp5y(zK;%fle4M==_AbSoH-wep!fyB3EV5n!ff+PSk{0kD_2gsISgb6S*gaDZi zNcoa!d~rKL^O)g2XQY@~AM{6G?D0V+^H3V|LV-vx<31<21q;)8rQ z1&O~I$UlR`UjpQxK;o|h@-HCqcT6r7O=1fV%?vgy*?d=YJ0qjqLfN>cZ8Ki)0 z4p-e{|*v=$K*=UB({_=Ul;Gl%@0MlGcrm|7L*X4yhdDramnPp X;_9qdL>U-9fyMp+#g0wpl@JF2Eyz34 diff --git a/tests/isa/generated/rv32ui-p-beq.bin b/tests/isa/generated/rv32ui-p-beq.bin index 5c86f36e82c1061376054755ff7197ccd475fa6c..dac9a3fe6c2f6adb48967c734b723f3e7e8df918 100755 GIT binary patch delta 38 scmeBR?_g&s4qy=2$g!76K+J%l_yU7KJ_7@gW)#Su{E%t+: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 00000113 li sp,0 - 14: 00208663 beq ra,sp,20 - 18: 2a301863 bne zero,gp,2c8 - 1c: 00301663 bne zero,gp,28 - 20: fe208ee3 beq ra,sp,1c - 24: 2a301263 bne zero,gp,2c8 +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 00000113 li sp,0 + 10: 00208663 beq ra,sp,1c + 14: 2a301863 bne zero,gp,2c4 + 18: 00301663 bne zero,gp,24 + 1c: fe208ee3 beq ra,sp,18 + 20: 2a301263 bne zero,gp,2c4 -00000028 : - 28: 00300193 li gp,3 - 2c: 00100093 li ra,1 - 30: 00100113 li sp,1 - 34: 00208663 beq ra,sp,40 - 38: 28301863 bne zero,gp,2c8 - 3c: 00301663 bne zero,gp,48 - 40: fe208ee3 beq ra,sp,3c - 44: 28301263 bne zero,gp,2c8 +00000024 : + 24: 00300193 li gp,3 + 28: 00100093 li ra,1 + 2c: 00100113 li sp,1 + 30: 00208663 beq ra,sp,3c + 34: 28301863 bne zero,gp,2c4 + 38: 00301663 bne zero,gp,44 + 3c: fe208ee3 beq ra,sp,38 + 40: 28301263 bne zero,gp,2c4 -00000048 : - 48: 00400193 li gp,4 - 4c: fff00093 li ra,-1 - 50: fff00113 li sp,-1 - 54: 00208663 beq ra,sp,60 - 58: 26301863 bne zero,gp,2c8 - 5c: 00301663 bne zero,gp,68 - 60: fe208ee3 beq ra,sp,5c - 64: 26301263 bne zero,gp,2c8 +00000044 : + 44: 00400193 li gp,4 + 48: fff00093 li ra,-1 + 4c: fff00113 li sp,-1 + 50: 00208663 beq ra,sp,5c + 54: 26301863 bne zero,gp,2c4 + 58: 00301663 bne zero,gp,64 + 5c: fe208ee3 beq ra,sp,58 + 60: 26301263 bne zero,gp,2c4 -00000068 : - 68: 00500193 li gp,5 - 6c: 00000093 li ra,0 - 70: 00100113 li sp,1 - 74: 00208463 beq ra,sp,7c - 78: 00301463 bne zero,gp,80 - 7c: 24301663 bne zero,gp,2c8 - 80: fe208ee3 beq ra,sp,7c +00000064 : + 64: 00500193 li gp,5 + 68: 00000093 li ra,0 + 6c: 00100113 li sp,1 + 70: 00208463 beq ra,sp,78 + 74: 00301463 bne zero,gp,7c + 78: 24301663 bne zero,gp,2c4 + 7c: fe208ee3 beq ra,sp,78 -00000084 : - 84: 00600193 li gp,6 - 88: 00100093 li ra,1 - 8c: 00000113 li sp,0 - 90: 00208463 beq ra,sp,98 - 94: 00301463 bne zero,gp,9c - 98: 22301863 bne zero,gp,2c8 - 9c: fe208ee3 beq ra,sp,98 +00000080 : + 80: 00600193 li gp,6 + 84: 00100093 li ra,1 + 88: 00000113 li sp,0 + 8c: 00208463 beq ra,sp,94 + 90: 00301463 bne zero,gp,98 + 94: 22301863 bne zero,gp,2c4 + 98: fe208ee3 beq ra,sp,94 -000000a0 : - a0: 00700193 li gp,7 - a4: fff00093 li ra,-1 - a8: 00100113 li sp,1 - ac: 00208463 beq ra,sp,b4 - b0: 00301463 bne zero,gp,b8 - b4: 20301a63 bne zero,gp,2c8 - b8: fe208ee3 beq ra,sp,b4 +0000009c : + 9c: 00700193 li gp,7 + a0: fff00093 li ra,-1 + a4: 00100113 li sp,1 + a8: 00208463 beq ra,sp,b0 + ac: 00301463 bne zero,gp,b4 + b0: 20301a63 bne zero,gp,2c4 + b4: fe208ee3 beq ra,sp,b0 -000000bc : - bc: 00800193 li gp,8 - c0: 00100093 li ra,1 - c4: fff00113 li sp,-1 - c8: 00208463 beq ra,sp,d0 - cc: 00301463 bne zero,gp,d4 - d0: 1e301c63 bne zero,gp,2c8 - d4: fe208ee3 beq ra,sp,d0 +000000b8 : + b8: 00800193 li gp,8 + bc: 00100093 li ra,1 + c0: fff00113 li sp,-1 + c4: 00208463 beq ra,sp,cc + c8: 00301463 bne zero,gp,d0 + cc: 1e301c63 bne zero,gp,2c4 + d0: fe208ee3 beq ra,sp,cc -000000d8 : - d8: 00900193 li gp,9 - dc: 00000213 li tp,0 - e0: 00000093 li ra,0 - e4: fff00113 li sp,-1 - e8: 1e208063 beq ra,sp,2c8 - ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - f0: 00200293 li t0,2 - f4: fe5216e3 bne tp,t0,e0 +000000d4 : + d4: 00900193 li gp,9 + d8: 00000213 li tp,0 + dc: 00000093 li ra,0 + e0: fff00113 li sp,-1 + e4: 1e208063 beq ra,sp,2c4 + e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + ec: 00200293 li t0,2 + f0: fe5216e3 bne tp,t0,dc -000000f8 : - f8: 00a00193 li gp,10 - fc: 00000213 li tp,0 - 100: 00000093 li ra,0 - 104: fff00113 li sp,-1 - 108: 00000013 nop - 10c: 1a208e63 beq ra,sp,2c8 - 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 114: 00200293 li t0,2 - 118: fe5214e3 bne tp,t0,100 +000000f4 : + f4: 00a00193 li gp,10 + f8: 00000213 li tp,0 + fc: 00000093 li ra,0 + 100: fff00113 li sp,-1 + 104: 00000013 nop + 108: 1a208e63 beq ra,sp,2c4 + 10c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 110: 00200293 li t0,2 + 114: fe5214e3 bne tp,t0,fc -0000011c : - 11c: 00b00193 li gp,11 - 120: 00000213 li tp,0 - 124: 00000093 li ra,0 - 128: fff00113 li sp,-1 +00000118 : + 118: 00b00193 li gp,11 + 11c: 00000213 li tp,0 + 120: 00000093 li ra,0 + 124: fff00113 li sp,-1 + 128: 00000013 nop 12c: 00000013 nop - 130: 00000013 nop - 134: 18208a63 beq ra,sp,2c8 - 138: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 13c: 00200293 li t0,2 - 140: fe5212e3 bne tp,t0,124 + 130: 18208a63 beq ra,sp,2c4 + 134: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 138: 00200293 li t0,2 + 13c: fe5212e3 bne tp,t0,120 -00000144 : - 144: 00c00193 li gp,12 - 148: 00000213 li tp,0 - 14c: 00000093 li ra,0 - 150: 00000013 nop - 154: fff00113 li sp,-1 - 158: 16208863 beq ra,sp,2c8 - 15c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 160: 00200293 li t0,2 - 164: fe5214e3 bne tp,t0,14c +00000140 : + 140: 00c00193 li gp,12 + 144: 00000213 li tp,0 + 148: 00000093 li ra,0 + 14c: 00000013 nop + 150: fff00113 li sp,-1 + 154: 16208863 beq ra,sp,2c4 + 158: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 15c: 00200293 li t0,2 + 160: fe5214e3 bne tp,t0,148 -00000168 : - 168: 00d00193 li gp,13 - 16c: 00000213 li tp,0 - 170: 00000093 li ra,0 - 174: 00000013 nop - 178: fff00113 li sp,-1 - 17c: 00000013 nop - 180: 14208463 beq ra,sp,2c8 - 184: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 188: 00200293 li t0,2 - 18c: fe5212e3 bne tp,t0,170 +00000164 : + 164: 00d00193 li gp,13 + 168: 00000213 li tp,0 + 16c: 00000093 li ra,0 + 170: 00000013 nop + 174: fff00113 li sp,-1 + 178: 00000013 nop + 17c: 14208463 beq ra,sp,2c4 + 180: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 184: 00200293 li t0,2 + 188: fe5212e3 bne tp,t0,16c -00000190 : - 190: 00e00193 li gp,14 - 194: 00000213 li tp,0 - 198: 00000093 li ra,0 +0000018c : + 18c: 00e00193 li gp,14 + 190: 00000213 li tp,0 + 194: 00000093 li ra,0 + 198: 00000013 nop 19c: 00000013 nop - 1a0: 00000013 nop - 1a4: fff00113 li sp,-1 - 1a8: 12208063 beq ra,sp,2c8 - 1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1b0: 00200293 li t0,2 - 1b4: fe5212e3 bne tp,t0,198 + 1a0: fff00113 li sp,-1 + 1a4: 12208063 beq ra,sp,2c4 + 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ac: 00200293 li t0,2 + 1b0: fe5212e3 bne tp,t0,194 -000001b8 : - 1b8: 00f00193 li gp,15 - 1bc: 00000213 li tp,0 - 1c0: 00000093 li ra,0 - 1c4: fff00113 li sp,-1 - 1c8: 10208063 beq ra,sp,2c8 - 1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d0: 00200293 li t0,2 - 1d4: fe5216e3 bne tp,t0,1c0 +000001b4 : + 1b4: 00f00193 li gp,15 + 1b8: 00000213 li tp,0 + 1bc: 00000093 li ra,0 + 1c0: fff00113 li sp,-1 + 1c4: 10208063 beq ra,sp,2c4 + 1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1cc: 00200293 li t0,2 + 1d0: fe5216e3 bne tp,t0,1bc -000001d8 : - 1d8: 01000193 li gp,16 - 1dc: 00000213 li tp,0 - 1e0: 00000093 li ra,0 - 1e4: fff00113 li sp,-1 - 1e8: 00000013 nop - 1ec: 0c208e63 beq ra,sp,2c8 - 1f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1f4: 00200293 li t0,2 - 1f8: fe5214e3 bne tp,t0,1e0 +000001d4 : + 1d4: 01000193 li gp,16 + 1d8: 00000213 li tp,0 + 1dc: 00000093 li ra,0 + 1e0: fff00113 li sp,-1 + 1e4: 00000013 nop + 1e8: 0c208e63 beq ra,sp,2c4 + 1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f0: 00200293 li t0,2 + 1f4: fe5214e3 bne tp,t0,1dc -000001fc : - 1fc: 01100193 li gp,17 - 200: 00000213 li tp,0 - 204: 00000093 li ra,0 - 208: fff00113 li sp,-1 +000001f8 : + 1f8: 01100193 li gp,17 + 1fc: 00000213 li tp,0 + 200: 00000093 li ra,0 + 204: fff00113 li sp,-1 + 208: 00000013 nop 20c: 00000013 nop - 210: 00000013 nop - 214: 0a208a63 beq ra,sp,2c8 - 218: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 21c: 00200293 li t0,2 - 220: fe5212e3 bne tp,t0,204 + 210: 0a208a63 beq ra,sp,2c4 + 214: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 218: 00200293 li t0,2 + 21c: fe5212e3 bne tp,t0,200 -00000224 : - 224: 01200193 li gp,18 - 228: 00000213 li tp,0 - 22c: 00000093 li ra,0 - 230: 00000013 nop - 234: fff00113 li sp,-1 - 238: 08208863 beq ra,sp,2c8 - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fe5214e3 bne tp,t0,22c +00000220 : + 220: 01200193 li gp,18 + 224: 00000213 li tp,0 + 228: 00000093 li ra,0 + 22c: 00000013 nop + 230: fff00113 li sp,-1 + 234: 08208863 beq ra,sp,2c4 + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fe5214e3 bne tp,t0,228 -00000248 : - 248: 01300193 li gp,19 - 24c: 00000213 li tp,0 - 250: 00000093 li ra,0 - 254: 00000013 nop - 258: fff00113 li sp,-1 - 25c: 00000013 nop - 260: 06208463 beq ra,sp,2c8 - 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 268: 00200293 li t0,2 - 26c: fe5212e3 bne tp,t0,250 +00000244 : + 244: 01300193 li gp,19 + 248: 00000213 li tp,0 + 24c: 00000093 li ra,0 + 250: 00000013 nop + 254: fff00113 li sp,-1 + 258: 00000013 nop + 25c: 06208463 beq ra,sp,2c4 + 260: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 264: 00200293 li t0,2 + 268: fe5212e3 bne tp,t0,24c -00000270 : - 270: 01400193 li gp,20 - 274: 00000213 li tp,0 - 278: 00000093 li ra,0 +0000026c : + 26c: 01400193 li gp,20 + 270: 00000213 li tp,0 + 274: 00000093 li ra,0 + 278: 00000013 nop 27c: 00000013 nop - 280: 00000013 nop - 284: fff00113 li sp,-1 - 288: 04208063 beq ra,sp,2c8 - 28c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 290: 00200293 li t0,2 - 294: fe5212e3 bne tp,t0,278 + 280: fff00113 li sp,-1 + 284: 04208063 beq ra,sp,2c4 + 288: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 28c: 00200293 li t0,2 + 290: fe5212e3 bne tp,t0,274 -00000298 : - 298: 00100093 li ra,1 - 29c: 00000a63 beqz zero,2b0 +00000294 : + 294: 00100093 li ra,1 + 298: 00000a63 beqz zero,2ac + 29c: 00108093 addi ra,ra,1 2a0: 00108093 addi ra,ra,1 2a4: 00108093 addi ra,ra,1 2a8: 00108093 addi ra,ra,1 2ac: 00108093 addi ra,ra,1 2b0: 00108093 addi ra,ra,1 - 2b4: 00108093 addi ra,ra,1 - 2b8: 00300e93 li t4,3 - 2bc: 01500193 li gp,21 - 2c0: 01d09463 bne ra,t4,2c8 - 2c4: 00301863 bne zero,gp,2d4 + 2b4: 00300e93 li t4,3 + 2b8: 01500193 li gp,21 + 2bc: 01d09463 bne ra,t4,2c4 + 2c0: 00301663 bne zero,gp,2cc -000002c8 : - 2c8: 00000d93 li s11,0 - 2cc: 00100d13 li s10,1 +000002c4 : + 2c4: 1000d073 csrwi sstatus,1 -000002d0 : - 2d0: 0000006f j 2d0 +000002c8 : + 2c8: 0000006f j 2c8 -000002d4 : - 2d4: 00100d93 li s11,1 - 2d8: 00100d13 li s10,1 +000002cc : + 2cc: 1001d073 csrwi sstatus,3 -000002dc : - 2dc: 0000006f j 2dc +000002d0 : + 2d0: 0000006f j 2d0 ... Disassembly of section .tohost: @@ -250,14 +247,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-beq.mem b/tests/isa/generated/rv32ui-p-beq.mem index cacafe1..fb4407f 100644 --- a/tests/isa/generated/rv32ui-p-beq.mem +++ b/tests/isa/generated/rv32ui-p-beq.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 00000113 @@ -175,12 +174,10 @@ fe5212e3 00300e93 01500193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -224,3 +221,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-beq.verilog b/tests/isa/generated/rv32ui-p-beq.verilog index 89ab0c1..520fa00 100755 --- a/tests/isa/generated/rv32ui-p-beq.verilog +++ b/tests/isa/generated/rv32ui-p-beq.verilog @@ -1,50 +1,50 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 86 20 00 63 18 30 2A 63 16 30 00 -E3 8E 20 FE 63 12 30 2A 93 01 30 00 93 00 10 00 -13 01 10 00 63 86 20 00 63 18 30 28 63 16 30 00 -E3 8E 20 FE 63 12 30 28 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 86 20 00 63 18 30 26 63 16 30 00 -E3 8E 20 FE 63 12 30 26 93 01 50 00 93 00 00 00 -13 01 10 00 63 84 20 00 63 14 30 00 63 16 30 24 -E3 8E 20 FE 93 01 60 00 93 00 10 00 13 01 00 00 -63 84 20 00 63 14 30 00 63 18 30 22 E3 8E 20 FE -93 01 70 00 93 00 F0 FF 13 01 10 00 63 84 20 00 -63 14 30 00 63 1A 30 20 E3 8E 20 FE 93 01 80 00 -93 00 10 00 13 01 F0 FF 63 84 20 00 63 14 30 00 -63 1C 30 1E E3 8E 20 FE 93 01 90 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 80 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 8A 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 88 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 84 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 80 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 80 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 8A 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 88 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 84 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 80 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 0A 00 00 +73 50 00 10 93 01 20 00 93 00 00 00 13 01 00 00 +63 86 20 00 63 18 30 2A 63 16 30 00 E3 8E 20 FE +63 12 30 2A 93 01 30 00 93 00 10 00 13 01 10 00 +63 86 20 00 63 18 30 28 63 16 30 00 E3 8E 20 FE +63 12 30 28 93 01 40 00 93 00 F0 FF 13 01 F0 FF +63 86 20 00 63 18 30 26 63 16 30 00 E3 8E 20 FE +63 12 30 26 93 01 50 00 93 00 00 00 13 01 10 00 +63 84 20 00 63 14 30 00 63 16 30 24 E3 8E 20 FE +93 01 60 00 93 00 10 00 13 01 00 00 63 84 20 00 +63 14 30 00 63 18 30 22 E3 8E 20 FE 93 01 70 00 +93 00 F0 FF 13 01 10 00 63 84 20 00 63 14 30 00 +63 1A 30 20 E3 8E 20 FE 93 01 80 00 93 00 10 00 +13 01 F0 FF 63 84 20 00 63 14 30 00 63 1C 30 1E +E3 8E 20 FE 93 01 90 00 13 02 00 00 93 00 00 00 +13 01 F0 FF 63 80 20 1E 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 01 A0 00 13 02 00 00 93 00 00 00 +13 01 F0 FF 13 00 00 00 63 8E 20 1A 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 B0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00 +63 8A 20 18 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 C0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 01 F0 FF 63 88 20 16 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 D0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 13 00 00 00 63 84 20 14 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 E0 00 +13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00 +13 01 F0 FF 63 80 20 12 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 00 00 +13 01 F0 FF 63 80 20 10 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 01 00 01 13 02 00 00 93 00 00 00 +13 01 F0 FF 13 00 00 00 63 8E 20 0C 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 10 01 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00 +63 8A 20 0A 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 20 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 01 F0 FF 63 88 20 08 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 30 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 13 00 00 00 63 84 20 06 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 40 01 +13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00 +13 01 F0 FF 63 80 20 04 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 00 10 00 63 0A 00 00 93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 -63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +93 80 10 00 93 0E 30 00 93 01 50 01 63 94 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bge b/tests/isa/generated/rv32ui-p-bge index 59c2833fb7444bbb3105b67e20c2e2c3faccecd7..c86e03e59eabb6b37ff772bb0c80c71d5bb039e6 100755 GIT binary patch delta 540 zcmZ2sxW;gT0^^j8iud_hiUSw~HggEbG7E?qFce>45Xfg>0Md*C`4bbT%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfGNg@{YEI$4UV_9o1G0}G@ogCx>KPs&3Ag~+e~|b-K(+)UOn{Lg1jsZ& z;>Q5_AxQibAin~Mp9ADCK;oAG`A3lWH9-3r-XICI02PQZ0SPc*Wat6XCP@4#Kz;-g ze-4n}fW%({>*vaEzMMbap}~yc3xoJDE(0Tj6p-zK z#8(8eGm!WowH-)&eIR=U65kBSK7quyWnidhc!DJ00%9}51Q;27fJ_-Aeh83nfy9pi z@*|M=DL{S=5o&A zzXgfE1jt{3#9ssCUqIq-0rGz!@lUG&1x%2Fd=HRsfW$ur^vi*)MP~oWyU9yT_w~RT_)#BsI&TsGcY&+MTG(MH#Ttq diff --git a/tests/isa/generated/rv32ui-p-bge.bin b/tests/isa/generated/rv32ui-p-bge.bin index e574fb4716a63e13fbeb47203503637d622d9667..200570dc1408c66523f5a7bdbd1d854259dc31c7 100755 GIT binary patch delta 38 scmX@XeuAB)IDkQ5BZn-rfS3V8@dXBfd: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 00000113 li sp,0 - 14: 0020d663 bge ra,sp,20 - 18: 30301863 bne zero,gp,328 - 1c: 00301663 bne zero,gp,28 - 20: fe20dee3 bge ra,sp,1c - 24: 30301263 bne zero,gp,328 +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 00000113 li sp,0 + 10: 0020d663 bge ra,sp,1c + 14: 30301863 bne zero,gp,324 + 18: 00301663 bne zero,gp,24 + 1c: fe20dee3 bge ra,sp,18 + 20: 30301263 bne zero,gp,324 -00000028 : - 28: 00300193 li gp,3 - 2c: 00100093 li ra,1 - 30: 00100113 li sp,1 - 34: 0020d663 bge ra,sp,40 - 38: 2e301863 bne zero,gp,328 - 3c: 00301663 bne zero,gp,48 - 40: fe20dee3 bge ra,sp,3c - 44: 2e301263 bne zero,gp,328 +00000024 : + 24: 00300193 li gp,3 + 28: 00100093 li ra,1 + 2c: 00100113 li sp,1 + 30: 0020d663 bge ra,sp,3c + 34: 2e301863 bne zero,gp,324 + 38: 00301663 bne zero,gp,44 + 3c: fe20dee3 bge ra,sp,38 + 40: 2e301263 bne zero,gp,324 -00000048 : - 48: 00400193 li gp,4 - 4c: fff00093 li ra,-1 - 50: fff00113 li sp,-1 - 54: 0020d663 bge ra,sp,60 - 58: 2c301863 bne zero,gp,328 - 5c: 00301663 bne zero,gp,68 - 60: fe20dee3 bge ra,sp,5c - 64: 2c301263 bne zero,gp,328 +00000044 : + 44: 00400193 li gp,4 + 48: fff00093 li ra,-1 + 4c: fff00113 li sp,-1 + 50: 0020d663 bge ra,sp,5c + 54: 2c301863 bne zero,gp,324 + 58: 00301663 bne zero,gp,64 + 5c: fe20dee3 bge ra,sp,58 + 60: 2c301263 bne zero,gp,324 -00000068 : - 68: 00500193 li gp,5 - 6c: 00100093 li ra,1 - 70: 00000113 li sp,0 - 74: 0020d663 bge ra,sp,80 - 78: 2a301863 bne zero,gp,328 - 7c: 00301663 bne zero,gp,88 - 80: fe20dee3 bge ra,sp,7c - 84: 2a301263 bne zero,gp,328 +00000064 : + 64: 00500193 li gp,5 + 68: 00100093 li ra,1 + 6c: 00000113 li sp,0 + 70: 0020d663 bge ra,sp,7c + 74: 2a301863 bne zero,gp,324 + 78: 00301663 bne zero,gp,84 + 7c: fe20dee3 bge ra,sp,78 + 80: 2a301263 bne zero,gp,324 -00000088 : - 88: 00600193 li gp,6 - 8c: 00100093 li ra,1 - 90: fff00113 li sp,-1 - 94: 0020d663 bge ra,sp,a0 - 98: 28301863 bne zero,gp,328 - 9c: 00301663 bne zero,gp,a8 - a0: fe20dee3 bge ra,sp,9c - a4: 28301263 bne zero,gp,328 +00000084 : + 84: 00600193 li gp,6 + 88: 00100093 li ra,1 + 8c: fff00113 li sp,-1 + 90: 0020d663 bge ra,sp,9c + 94: 28301863 bne zero,gp,324 + 98: 00301663 bne zero,gp,a4 + 9c: fe20dee3 bge ra,sp,98 + a0: 28301263 bne zero,gp,324 -000000a8 : - a8: 00700193 li gp,7 - ac: fff00093 li ra,-1 - b0: ffe00113 li sp,-2 - b4: 0020d663 bge ra,sp,c0 - b8: 26301863 bne zero,gp,328 - bc: 00301663 bne zero,gp,c8 - c0: fe20dee3 bge ra,sp,bc - c4: 26301263 bne zero,gp,328 +000000a4 : + a4: 00700193 li gp,7 + a8: fff00093 li ra,-1 + ac: ffe00113 li sp,-2 + b0: 0020d663 bge ra,sp,bc + b4: 26301863 bne zero,gp,324 + b8: 00301663 bne zero,gp,c4 + bc: fe20dee3 bge ra,sp,b8 + c0: 26301263 bne zero,gp,324 -000000c8 : - c8: 00800193 li gp,8 - cc: 00000093 li ra,0 - d0: 00100113 li sp,1 - d4: 0020d463 bge ra,sp,dc - d8: 00301463 bne zero,gp,e0 - dc: 24301663 bne zero,gp,328 - e0: fe20dee3 bge ra,sp,dc +000000c4 : + c4: 00800193 li gp,8 + c8: 00000093 li ra,0 + cc: 00100113 li sp,1 + d0: 0020d463 bge ra,sp,d8 + d4: 00301463 bne zero,gp,dc + d8: 24301663 bne zero,gp,324 + dc: fe20dee3 bge ra,sp,d8 -000000e4 : - e4: 00900193 li gp,9 - e8: fff00093 li ra,-1 - ec: 00100113 li sp,1 - f0: 0020d463 bge ra,sp,f8 - f4: 00301463 bne zero,gp,fc - f8: 22301863 bne zero,gp,328 - fc: fe20dee3 bge ra,sp,f8 +000000e0 : + e0: 00900193 li gp,9 + e4: fff00093 li ra,-1 + e8: 00100113 li sp,1 + ec: 0020d463 bge ra,sp,f4 + f0: 00301463 bne zero,gp,f8 + f4: 22301863 bne zero,gp,324 + f8: fe20dee3 bge ra,sp,f4 -00000100 : - 100: 00a00193 li gp,10 - 104: ffe00093 li ra,-2 - 108: fff00113 li sp,-1 - 10c: 0020d463 bge ra,sp,114 - 110: 00301463 bne zero,gp,118 - 114: 20301a63 bne zero,gp,328 - 118: fe20dee3 bge ra,sp,114 +000000fc : + fc: 00a00193 li gp,10 + 100: ffe00093 li ra,-2 + 104: fff00113 li sp,-1 + 108: 0020d463 bge ra,sp,110 + 10c: 00301463 bne zero,gp,114 + 110: 20301a63 bne zero,gp,324 + 114: fe20dee3 bge ra,sp,110 -0000011c : - 11c: 00b00193 li gp,11 - 120: ffe00093 li ra,-2 - 124: 00100113 li sp,1 - 128: 0020d463 bge ra,sp,130 - 12c: 00301463 bne zero,gp,134 - 130: 1e301c63 bne zero,gp,328 - 134: fe20dee3 bge ra,sp,130 +00000118 : + 118: 00b00193 li gp,11 + 11c: ffe00093 li ra,-2 + 120: 00100113 li sp,1 + 124: 0020d463 bge ra,sp,12c + 128: 00301463 bne zero,gp,130 + 12c: 1e301c63 bne zero,gp,324 + 130: fe20dee3 bge ra,sp,12c -00000138 : - 138: 00c00193 li gp,12 - 13c: 00000213 li tp,0 - 140: fff00093 li ra,-1 - 144: 00000113 li sp,0 - 148: 1e20d063 bge ra,sp,328 - 14c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 150: 00200293 li t0,2 - 154: fe5216e3 bne tp,t0,140 +00000134 : + 134: 00c00193 li gp,12 + 138: 00000213 li tp,0 + 13c: fff00093 li ra,-1 + 140: 00000113 li sp,0 + 144: 1e20d063 bge ra,sp,324 + 148: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 14c: 00200293 li t0,2 + 150: fe5216e3 bne tp,t0,13c -00000158 : - 158: 00d00193 li gp,13 - 15c: 00000213 li tp,0 - 160: fff00093 li ra,-1 - 164: 00000113 li sp,0 - 168: 00000013 nop - 16c: 1a20de63 bge ra,sp,328 - 170: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 174: 00200293 li t0,2 - 178: fe5214e3 bne tp,t0,160 +00000154 : + 154: 00d00193 li gp,13 + 158: 00000213 li tp,0 + 15c: fff00093 li ra,-1 + 160: 00000113 li sp,0 + 164: 00000013 nop + 168: 1a20de63 bge ra,sp,324 + 16c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 170: 00200293 li t0,2 + 174: fe5214e3 bne tp,t0,15c -0000017c : - 17c: 00e00193 li gp,14 - 180: 00000213 li tp,0 - 184: fff00093 li ra,-1 - 188: 00000113 li sp,0 +00000178 : + 178: 00e00193 li gp,14 + 17c: 00000213 li tp,0 + 180: fff00093 li ra,-1 + 184: 00000113 li sp,0 + 188: 00000013 nop 18c: 00000013 nop - 190: 00000013 nop - 194: 1820da63 bge ra,sp,328 - 198: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 19c: 00200293 li t0,2 - 1a0: fe5212e3 bne tp,t0,184 + 190: 1820da63 bge ra,sp,324 + 194: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 198: 00200293 li t0,2 + 19c: fe5212e3 bne tp,t0,180 -000001a4 : - 1a4: 00f00193 li gp,15 - 1a8: 00000213 li tp,0 - 1ac: fff00093 li ra,-1 - 1b0: 00000013 nop - 1b4: 00000113 li sp,0 - 1b8: 1620d863 bge ra,sp,328 - 1bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c0: 00200293 li t0,2 - 1c4: fe5214e3 bne tp,t0,1ac +000001a0 : + 1a0: 00f00193 li gp,15 + 1a4: 00000213 li tp,0 + 1a8: fff00093 li ra,-1 + 1ac: 00000013 nop + 1b0: 00000113 li sp,0 + 1b4: 1620d863 bge ra,sp,324 + 1b8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1bc: 00200293 li t0,2 + 1c0: fe5214e3 bne tp,t0,1a8 -000001c8 : - 1c8: 01000193 li gp,16 - 1cc: 00000213 li tp,0 - 1d0: fff00093 li ra,-1 - 1d4: 00000013 nop - 1d8: 00000113 li sp,0 - 1dc: 00000013 nop - 1e0: 1420d463 bge ra,sp,328 - 1e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e8: 00200293 li t0,2 - 1ec: fe5212e3 bne tp,t0,1d0 +000001c4 : + 1c4: 01000193 li gp,16 + 1c8: 00000213 li tp,0 + 1cc: fff00093 li ra,-1 + 1d0: 00000013 nop + 1d4: 00000113 li sp,0 + 1d8: 00000013 nop + 1dc: 1420d463 bge ra,sp,324 + 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e4: 00200293 li t0,2 + 1e8: fe5212e3 bne tp,t0,1cc -000001f0 : - 1f0: 01100193 li gp,17 - 1f4: 00000213 li tp,0 - 1f8: fff00093 li ra,-1 +000001ec : + 1ec: 01100193 li gp,17 + 1f0: 00000213 li tp,0 + 1f4: fff00093 li ra,-1 + 1f8: 00000013 nop 1fc: 00000013 nop - 200: 00000013 nop - 204: 00000113 li sp,0 - 208: 1220d063 bge ra,sp,328 - 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 210: 00200293 li t0,2 - 214: fe5212e3 bne tp,t0,1f8 + 200: 00000113 li sp,0 + 204: 1220d063 bge ra,sp,324 + 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 20c: 00200293 li t0,2 + 210: fe5212e3 bne tp,t0,1f4 -00000218 : - 218: 01200193 li gp,18 - 21c: 00000213 li tp,0 - 220: fff00093 li ra,-1 - 224: 00000113 li sp,0 - 228: 1020d063 bge ra,sp,328 - 22c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 230: 00200293 li t0,2 - 234: fe5216e3 bne tp,t0,220 +00000214 : + 214: 01200193 li gp,18 + 218: 00000213 li tp,0 + 21c: fff00093 li ra,-1 + 220: 00000113 li sp,0 + 224: 1020d063 bge ra,sp,324 + 228: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 22c: 00200293 li t0,2 + 230: fe5216e3 bne tp,t0,21c -00000238 : - 238: 01300193 li gp,19 - 23c: 00000213 li tp,0 - 240: fff00093 li ra,-1 - 244: 00000113 li sp,0 - 248: 00000013 nop - 24c: 0c20de63 bge ra,sp,328 - 250: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 254: 00200293 li t0,2 - 258: fe5214e3 bne tp,t0,240 +00000234 : + 234: 01300193 li gp,19 + 238: 00000213 li tp,0 + 23c: fff00093 li ra,-1 + 240: 00000113 li sp,0 + 244: 00000013 nop + 248: 0c20de63 bge ra,sp,324 + 24c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 250: 00200293 li t0,2 + 254: fe5214e3 bne tp,t0,23c -0000025c : - 25c: 01400193 li gp,20 - 260: 00000213 li tp,0 - 264: fff00093 li ra,-1 - 268: 00000113 li sp,0 +00000258 : + 258: 01400193 li gp,20 + 25c: 00000213 li tp,0 + 260: fff00093 li ra,-1 + 264: 00000113 li sp,0 + 268: 00000013 nop 26c: 00000013 nop - 270: 00000013 nop - 274: 0a20da63 bge ra,sp,328 - 278: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 27c: 00200293 li t0,2 - 280: fe5212e3 bne tp,t0,264 + 270: 0a20da63 bge ra,sp,324 + 274: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 278: 00200293 li t0,2 + 27c: fe5212e3 bne tp,t0,260 -00000284 : - 284: 01500193 li gp,21 - 288: 00000213 li tp,0 - 28c: fff00093 li ra,-1 - 290: 00000013 nop - 294: 00000113 li sp,0 - 298: 0820d863 bge ra,sp,328 - 29c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2a0: 00200293 li t0,2 - 2a4: fe5214e3 bne tp,t0,28c +00000280 : + 280: 01500193 li gp,21 + 284: 00000213 li tp,0 + 288: fff00093 li ra,-1 + 28c: 00000013 nop + 290: 00000113 li sp,0 + 294: 0820d863 bge ra,sp,324 + 298: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 29c: 00200293 li t0,2 + 2a0: fe5214e3 bne tp,t0,288 -000002a8 : - 2a8: 01600193 li gp,22 - 2ac: 00000213 li tp,0 - 2b0: fff00093 li ra,-1 - 2b4: 00000013 nop - 2b8: 00000113 li sp,0 - 2bc: 00000013 nop - 2c0: 0620d463 bge ra,sp,328 - 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2c8: 00200293 li t0,2 - 2cc: fe5212e3 bne tp,t0,2b0 +000002a4 : + 2a4: 01600193 li gp,22 + 2a8: 00000213 li tp,0 + 2ac: fff00093 li ra,-1 + 2b0: 00000013 nop + 2b4: 00000113 li sp,0 + 2b8: 00000013 nop + 2bc: 0620d463 bge ra,sp,324 + 2c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c4: 00200293 li t0,2 + 2c8: fe5212e3 bne tp,t0,2ac -000002d0 : - 2d0: 01700193 li gp,23 - 2d4: 00000213 li tp,0 - 2d8: fff00093 li ra,-1 +000002cc : + 2cc: 01700193 li gp,23 + 2d0: 00000213 li tp,0 + 2d4: fff00093 li ra,-1 + 2d8: 00000013 nop 2dc: 00000013 nop - 2e0: 00000013 nop - 2e4: 00000113 li sp,0 - 2e8: 0420d063 bge ra,sp,328 - 2ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f0: 00200293 li t0,2 - 2f4: fe5212e3 bne tp,t0,2d8 + 2e0: 00000113 li sp,0 + 2e4: 0420d063 bge ra,sp,324 + 2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2ec: 00200293 li t0,2 + 2f0: fe5212e3 bne tp,t0,2d4 -000002f8 : - 2f8: 00100093 li ra,1 - 2fc: 0000da63 bgez ra,310 +000002f4 : + 2f4: 00100093 li ra,1 + 2f8: 0000da63 bgez ra,30c + 2fc: 00108093 addi ra,ra,1 300: 00108093 addi ra,ra,1 304: 00108093 addi ra,ra,1 308: 00108093 addi ra,ra,1 30c: 00108093 addi ra,ra,1 310: 00108093 addi ra,ra,1 - 314: 00108093 addi ra,ra,1 - 318: 00300e93 li t4,3 - 31c: 01800193 li gp,24 - 320: 01d09463 bne ra,t4,328 - 324: 00301863 bne zero,gp,334 + 314: 00300e93 li t4,3 + 318: 01800193 li gp,24 + 31c: 01d09463 bne ra,t4,324 + 320: 00301663 bne zero,gp,32c -00000328 : - 328: 00000d93 li s11,0 - 32c: 00100d13 li s10,1 +00000324 : + 324: 1000d073 csrwi sstatus,1 -00000330 : - 330: 0000006f j 330 +00000328 : + 328: 0000006f j 328 -00000334 : - 334: 00100d93 li s11,1 - 338: 00100d13 li s10,1 +0000032c : + 32c: 1001d073 csrwi sstatus,3 -0000033c : - 33c: 0000006f j 33c - 340: 0000 unimp +00000330 : + 330: 0000006f j 330 ... Disassembly of section .tohost: @@ -281,14 +277,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-bge.mem b/tests/isa/generated/rv32ui-p-bge.mem index fde8768..6afac03 100644 --- a/tests/isa/generated/rv32ui-p-bge.mem +++ b/tests/isa/generated/rv32ui-p-bge.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 00000113 @@ -199,12 +198,10 @@ fe5212e3 00300e93 01800193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -240,3 +237,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bge.verilog b/tests/isa/generated/rv32ui-p-bge.verilog index ef2b83e..b2b9bc1 100755 --- a/tests/isa/generated/rv32ui-p-bge.verilog +++ b/tests/isa/generated/rv32ui-p-bge.verilog @@ -1,56 +1,56 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 D6 20 00 63 18 30 30 63 16 30 00 -E3 DE 20 FE 63 12 30 30 93 01 30 00 93 00 10 00 -13 01 10 00 63 D6 20 00 63 18 30 2E 63 16 30 00 -E3 DE 20 FE 63 12 30 2E 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 D6 20 00 63 18 30 2C 63 16 30 00 -E3 DE 20 FE 63 12 30 2C 93 01 50 00 93 00 10 00 -13 01 00 00 63 D6 20 00 63 18 30 2A 63 16 30 00 -E3 DE 20 FE 63 12 30 2A 93 01 60 00 93 00 10 00 -13 01 F0 FF 63 D6 20 00 63 18 30 28 63 16 30 00 -E3 DE 20 FE 63 12 30 28 93 01 70 00 93 00 F0 FF -13 01 E0 FF 63 D6 20 00 63 18 30 26 63 16 30 00 -E3 DE 20 FE 63 12 30 26 93 01 80 00 93 00 00 00 -13 01 10 00 63 D4 20 00 63 14 30 00 63 16 30 24 -E3 DE 20 FE 93 01 90 00 93 00 F0 FF 13 01 10 00 -63 D4 20 00 63 14 30 00 63 18 30 22 E3 DE 20 FE -93 01 A0 00 93 00 E0 FF 13 01 F0 FF 63 D4 20 00 -63 14 30 00 63 1A 30 20 E3 DE 20 FE 93 01 B0 00 -93 00 E0 FF 13 01 10 00 63 D4 20 00 63 14 30 00 -63 1C 30 1E E3 DE 20 FE 93 01 C0 00 13 02 00 00 -93 00 F0 FF 13 01 00 00 63 D0 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 D0 00 13 02 00 00 -93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 E0 00 -13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 -13 00 00 00 63 DA 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 F0 FF -13 00 00 00 13 01 00 00 63 D8 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 -93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 -63 D4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 10 01 13 02 00 00 93 00 F0 FF 13 00 00 00 -13 00 00 00 13 01 00 00 63 D0 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 -93 00 F0 FF 13 01 00 00 63 D0 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 30 01 13 02 00 00 -93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 40 01 -13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 -13 00 00 00 63 DA 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 50 01 13 02 00 00 93 00 F0 FF -13 00 00 00 13 01 00 00 63 D8 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 60 01 13 02 00 00 -93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 -63 D4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 70 01 13 02 00 00 93 00 F0 FF 13 00 00 00 -13 00 00 00 13 01 00 00 63 D0 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 DA 00 00 +73 50 00 10 93 01 20 00 93 00 00 00 13 01 00 00 +63 D6 20 00 63 18 30 30 63 16 30 00 E3 DE 20 FE +63 12 30 30 93 01 30 00 93 00 10 00 13 01 10 00 +63 D6 20 00 63 18 30 2E 63 16 30 00 E3 DE 20 FE +63 12 30 2E 93 01 40 00 93 00 F0 FF 13 01 F0 FF +63 D6 20 00 63 18 30 2C 63 16 30 00 E3 DE 20 FE +63 12 30 2C 93 01 50 00 93 00 10 00 13 01 00 00 +63 D6 20 00 63 18 30 2A 63 16 30 00 E3 DE 20 FE +63 12 30 2A 93 01 60 00 93 00 10 00 13 01 F0 FF +63 D6 20 00 63 18 30 28 63 16 30 00 E3 DE 20 FE +63 12 30 28 93 01 70 00 93 00 F0 FF 13 01 E0 FF +63 D6 20 00 63 18 30 26 63 16 30 00 E3 DE 20 FE +63 12 30 26 93 01 80 00 93 00 00 00 13 01 10 00 +63 D4 20 00 63 14 30 00 63 16 30 24 E3 DE 20 FE +93 01 90 00 93 00 F0 FF 13 01 10 00 63 D4 20 00 +63 14 30 00 63 18 30 22 E3 DE 20 FE 93 01 A0 00 +93 00 E0 FF 13 01 F0 FF 63 D4 20 00 63 14 30 00 +63 1A 30 20 E3 DE 20 FE 93 01 B0 00 93 00 E0 FF +13 01 10 00 63 D4 20 00 63 14 30 00 63 1C 30 1E +E3 DE 20 FE 93 01 C0 00 13 02 00 00 93 00 F0 FF +13 01 00 00 63 D0 20 1E 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 01 D0 00 13 02 00 00 93 00 F0 FF +13 01 00 00 13 00 00 00 63 DE 20 1A 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 E0 00 13 02 00 00 +93 00 F0 FF 13 01 00 00 13 00 00 00 13 00 00 00 +63 DA 20 18 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 F0 00 13 02 00 00 93 00 F0 FF 13 00 00 00 +13 01 00 00 63 D8 20 16 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 00 01 13 02 00 00 93 00 F0 FF +13 00 00 00 13 01 00 00 13 00 00 00 63 D4 20 14 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 10 01 +13 02 00 00 93 00 F0 FF 13 00 00 00 13 00 00 00 +13 01 00 00 63 D0 20 12 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 F0 FF +13 01 00 00 63 D0 20 10 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 01 30 01 13 02 00 00 93 00 F0 FF +13 01 00 00 13 00 00 00 63 DE 20 0C 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 40 01 13 02 00 00 +93 00 F0 FF 13 01 00 00 13 00 00 00 13 00 00 00 +63 DA 20 0A 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 50 01 13 02 00 00 93 00 F0 FF 13 00 00 00 +13 01 00 00 63 D8 20 08 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 60 01 13 02 00 00 93 00 F0 FF +13 00 00 00 13 01 00 00 13 00 00 00 63 D4 20 06 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 70 01 +13 02 00 00 93 00 F0 FF 13 00 00 00 13 00 00 00 +13 01 00 00 63 D0 20 04 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 00 10 00 63 DA 00 00 93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01 -63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +93 80 10 00 93 0E 30 00 93 01 80 01 63 94 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bgeu b/tests/isa/generated/rv32ui-p-bgeu index c46fb5c3e2c4c2b95002e98cbb9441400937310b..179511b60ce2a10fcca9ff9ff3d56b509809d90c 100755 GIT binary patch delta 541 zcmaE1_{MO80^^m9iud_hiUSw~HggCBG7E?qFce>45Xfg>0Md*C`4bZt%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$qphG^(;Uc2w-G52xLSsBlsX)DljesBZCx> z?SjNt1hP|*_!>ZV3ld)+$X@ zK;p*$`7ucR6d=C^iJt@HZ$RRg0QonN_%%TL8CaNrqF}%XcD(@-zXvEDg2bN!Ar^hfmEh4j2|-1qa`!NL8czEc;|>g_)E8Fq9?#Nz4=yPE-H zl0J0Em5O)|T=Cl;>MJvjrB*uHt&0jHgSGlXx|FUaJNYS_9nCZyjDJVTBO(|0w?@tm zC`zK}b#e?{Bzy0+$@A!2@)G))yeiU^FB-C5tHGdT135w7f>+2nc!yko+vGCbCm+Kf z: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 00000113 li sp,0 - 14: 0020f663 bgeu ra,sp,20 - 18: 34301263 bne zero,gp,35c - 1c: 00301663 bne zero,gp,28 - 20: fe20fee3 bgeu ra,sp,1c - 24: 32301c63 bne zero,gp,35c +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 00000113 li sp,0 + 10: 0020f663 bgeu ra,sp,1c + 14: 34301263 bne zero,gp,358 + 18: 00301663 bne zero,gp,24 + 1c: fe20fee3 bgeu ra,sp,18 + 20: 32301c63 bne zero,gp,358 -00000028 : - 28: 00300193 li gp,3 - 2c: 00100093 li ra,1 - 30: 00100113 li sp,1 - 34: 0020f663 bgeu ra,sp,40 - 38: 32301263 bne zero,gp,35c - 3c: 00301663 bne zero,gp,48 - 40: fe20fee3 bgeu ra,sp,3c - 44: 30301c63 bne zero,gp,35c +00000024 : + 24: 00300193 li gp,3 + 28: 00100093 li ra,1 + 2c: 00100113 li sp,1 + 30: 0020f663 bgeu ra,sp,3c + 34: 32301263 bne zero,gp,358 + 38: 00301663 bne zero,gp,44 + 3c: fe20fee3 bgeu ra,sp,38 + 40: 30301c63 bne zero,gp,358 -00000048 : - 48: 00400193 li gp,4 - 4c: fff00093 li ra,-1 - 50: fff00113 li sp,-1 - 54: 0020f663 bgeu ra,sp,60 - 58: 30301263 bne zero,gp,35c - 5c: 00301663 bne zero,gp,68 - 60: fe20fee3 bgeu ra,sp,5c - 64: 2e301c63 bne zero,gp,35c +00000044 : + 44: 00400193 li gp,4 + 48: fff00093 li ra,-1 + 4c: fff00113 li sp,-1 + 50: 0020f663 bgeu ra,sp,5c + 54: 30301263 bne zero,gp,358 + 58: 00301663 bne zero,gp,64 + 5c: fe20fee3 bgeu ra,sp,58 + 60: 2e301c63 bne zero,gp,358 -00000068 : - 68: 00500193 li gp,5 - 6c: 00100093 li ra,1 - 70: 00000113 li sp,0 - 74: 0020f663 bgeu ra,sp,80 - 78: 2e301263 bne zero,gp,35c - 7c: 00301663 bne zero,gp,88 - 80: fe20fee3 bgeu ra,sp,7c - 84: 2c301c63 bne zero,gp,35c +00000064 : + 64: 00500193 li gp,5 + 68: 00100093 li ra,1 + 6c: 00000113 li sp,0 + 70: 0020f663 bgeu ra,sp,7c + 74: 2e301263 bne zero,gp,358 + 78: 00301663 bne zero,gp,84 + 7c: fe20fee3 bgeu ra,sp,78 + 80: 2c301c63 bne zero,gp,358 -00000088 : - 88: 00600193 li gp,6 - 8c: fff00093 li ra,-1 - 90: ffe00113 li sp,-2 - 94: 0020f663 bgeu ra,sp,a0 - 98: 2c301263 bne zero,gp,35c - 9c: 00301663 bne zero,gp,a8 - a0: fe20fee3 bgeu ra,sp,9c - a4: 2a301c63 bne zero,gp,35c +00000084 : + 84: 00600193 li gp,6 + 88: fff00093 li ra,-1 + 8c: ffe00113 li sp,-2 + 90: 0020f663 bgeu ra,sp,9c + 94: 2c301263 bne zero,gp,358 + 98: 00301663 bne zero,gp,a4 + 9c: fe20fee3 bgeu ra,sp,98 + a0: 2a301c63 bne zero,gp,358 -000000a8 : - a8: 00700193 li gp,7 - ac: fff00093 li ra,-1 - b0: 00000113 li sp,0 - b4: 0020f663 bgeu ra,sp,c0 - b8: 2a301263 bne zero,gp,35c - bc: 00301663 bne zero,gp,c8 - c0: fe20fee3 bgeu ra,sp,bc - c4: 28301c63 bne zero,gp,35c +000000a4 : + a4: 00700193 li gp,7 + a8: fff00093 li ra,-1 + ac: 00000113 li sp,0 + b0: 0020f663 bgeu ra,sp,bc + b4: 2a301263 bne zero,gp,358 + b8: 00301663 bne zero,gp,c4 + bc: fe20fee3 bgeu ra,sp,b8 + c0: 28301c63 bne zero,gp,358 -000000c8 : - c8: 00800193 li gp,8 - cc: 00000093 li ra,0 - d0: 00100113 li sp,1 - d4: 0020f463 bgeu ra,sp,dc - d8: 00301463 bne zero,gp,e0 - dc: 28301063 bne zero,gp,35c - e0: fe20fee3 bgeu ra,sp,dc +000000c4 : + c4: 00800193 li gp,8 + c8: 00000093 li ra,0 + cc: 00100113 li sp,1 + d0: 0020f463 bgeu ra,sp,d8 + d4: 00301463 bne zero,gp,dc + d8: 28301063 bne zero,gp,358 + dc: fe20fee3 bgeu ra,sp,d8 -000000e4 : - e4: 00900193 li gp,9 - e8: ffe00093 li ra,-2 - ec: fff00113 li sp,-1 - f0: 0020f463 bgeu ra,sp,f8 - f4: 00301463 bne zero,gp,fc - f8: 26301263 bne zero,gp,35c - fc: fe20fee3 bgeu ra,sp,f8 +000000e0 : + e0: 00900193 li gp,9 + e4: ffe00093 li ra,-2 + e8: fff00113 li sp,-1 + ec: 0020f463 bgeu ra,sp,f4 + f0: 00301463 bne zero,gp,f8 + f4: 26301263 bne zero,gp,358 + f8: fe20fee3 bgeu ra,sp,f4 -00000100 : - 100: 00a00193 li gp,10 - 104: 00000093 li ra,0 - 108: fff00113 li sp,-1 - 10c: 0020f463 bgeu ra,sp,114 - 110: 00301463 bne zero,gp,118 - 114: 24301463 bne zero,gp,35c - 118: fe20fee3 bgeu ra,sp,114 +000000fc : + fc: 00a00193 li gp,10 + 100: 00000093 li ra,0 + 104: fff00113 li sp,-1 + 108: 0020f463 bgeu ra,sp,110 + 10c: 00301463 bne zero,gp,114 + 110: 24301463 bne zero,gp,358 + 114: fe20fee3 bgeu ra,sp,110 -0000011c : - 11c: 00b00193 li gp,11 - 120: 800000b7 lui ra,0x80000 - 124: fff08093 addi ra,ra,-1 # 7fffffff - 128: 80000137 lui sp,0x80000 - 12c: 0020f463 bgeu ra,sp,134 - 130: 00301463 bne zero,gp,138 - 134: 22301463 bne zero,gp,35c - 138: fe20fee3 bgeu ra,sp,134 +00000118 : + 118: 00b00193 li gp,11 + 11c: 800000b7 lui ra,0x80000 + 120: fff08093 addi ra,ra,-1 # 7fffffff + 124: 80000137 lui sp,0x80000 + 128: 0020f463 bgeu ra,sp,130 + 12c: 00301463 bne zero,gp,134 + 130: 22301463 bne zero,gp,358 + 134: fe20fee3 bgeu ra,sp,130 -0000013c : - 13c: 00c00193 li gp,12 - 140: 00000213 li tp,0 - 144: f00000b7 lui ra,0xf0000 - 148: fff08093 addi ra,ra,-1 # efffffff - 14c: f0000137 lui sp,0xf0000 - 150: 2020f663 bgeu ra,sp,35c - 154: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 158: 00200293 li t0,2 - 15c: fe5214e3 bne tp,t0,144 +00000138 : + 138: 00c00193 li gp,12 + 13c: 00000213 li tp,0 + 140: f00000b7 lui ra,0xf0000 + 144: fff08093 addi ra,ra,-1 # efffffff + 148: f0000137 lui sp,0xf0000 + 14c: 2020f663 bgeu ra,sp,358 + 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 154: 00200293 li t0,2 + 158: fe5214e3 bne tp,t0,140 -00000160 : - 160: 00d00193 li gp,13 - 164: 00000213 li tp,0 - 168: f00000b7 lui ra,0xf0000 - 16c: fff08093 addi ra,ra,-1 # efffffff - 170: f0000137 lui sp,0xf0000 - 174: 00000013 nop - 178: 1e20f263 bgeu ra,sp,35c - 17c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 180: 00200293 li t0,2 - 184: fe5212e3 bne tp,t0,168 +0000015c : + 15c: 00d00193 li gp,13 + 160: 00000213 li tp,0 + 164: f00000b7 lui ra,0xf0000 + 168: fff08093 addi ra,ra,-1 # efffffff + 16c: f0000137 lui sp,0xf0000 + 170: 00000013 nop + 174: 1e20f263 bgeu ra,sp,358 + 178: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 17c: 00200293 li t0,2 + 180: fe5212e3 bne tp,t0,164 -00000188 : - 188: 00e00193 li gp,14 - 18c: 00000213 li tp,0 - 190: f00000b7 lui ra,0xf0000 - 194: fff08093 addi ra,ra,-1 # efffffff - 198: f0000137 lui sp,0xf0000 +00000184 : + 184: 00e00193 li gp,14 + 188: 00000213 li tp,0 + 18c: f00000b7 lui ra,0xf0000 + 190: fff08093 addi ra,ra,-1 # efffffff + 194: f0000137 lui sp,0xf0000 + 198: 00000013 nop 19c: 00000013 nop - 1a0: 00000013 nop - 1a4: 1a20fc63 bgeu ra,sp,35c - 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1ac: 00200293 li t0,2 - 1b0: fe5210e3 bne tp,t0,190 + 1a0: 1a20fc63 bgeu ra,sp,358 + 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a8: 00200293 li t0,2 + 1ac: fe5210e3 bne tp,t0,18c -000001b4 : - 1b4: 00f00193 li gp,15 - 1b8: 00000213 li tp,0 - 1bc: f00000b7 lui ra,0xf0000 - 1c0: fff08093 addi ra,ra,-1 # efffffff - 1c4: 00000013 nop - 1c8: f0000137 lui sp,0xf0000 - 1cc: 1820f863 bgeu ra,sp,35c - 1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d4: 00200293 li t0,2 - 1d8: fe5212e3 bne tp,t0,1bc +000001b0 : + 1b0: 00f00193 li gp,15 + 1b4: 00000213 li tp,0 + 1b8: f00000b7 lui ra,0xf0000 + 1bc: fff08093 addi ra,ra,-1 # efffffff + 1c0: 00000013 nop + 1c4: f0000137 lui sp,0xf0000 + 1c8: 1820f863 bgeu ra,sp,358 + 1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d0: 00200293 li t0,2 + 1d4: fe5212e3 bne tp,t0,1b8 -000001dc : - 1dc: 01000193 li gp,16 - 1e0: 00000213 li tp,0 - 1e4: f00000b7 lui ra,0xf0000 - 1e8: fff08093 addi ra,ra,-1 # efffffff - 1ec: 00000013 nop - 1f0: f0000137 lui sp,0xf0000 - 1f4: 00000013 nop - 1f8: 1620f263 bgeu ra,sp,35c - 1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 200: 00200293 li t0,2 - 204: fe5210e3 bne tp,t0,1e4 +000001d8 : + 1d8: 01000193 li gp,16 + 1dc: 00000213 li tp,0 + 1e0: f00000b7 lui ra,0xf0000 + 1e4: fff08093 addi ra,ra,-1 # efffffff + 1e8: 00000013 nop + 1ec: f0000137 lui sp,0xf0000 + 1f0: 00000013 nop + 1f4: 1620f263 bgeu ra,sp,358 + 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1fc: 00200293 li t0,2 + 200: fe5210e3 bne tp,t0,1e0 -00000208 : - 208: 01100193 li gp,17 - 20c: 00000213 li tp,0 - 210: f00000b7 lui ra,0xf0000 - 214: fff08093 addi ra,ra,-1 # efffffff +00000204 : + 204: 01100193 li gp,17 + 208: 00000213 li tp,0 + 20c: f00000b7 lui ra,0xf0000 + 210: fff08093 addi ra,ra,-1 # efffffff + 214: 00000013 nop 218: 00000013 nop - 21c: 00000013 nop - 220: f0000137 lui sp,0xf0000 - 224: 1220fc63 bgeu ra,sp,35c - 228: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 22c: 00200293 li t0,2 - 230: fe5210e3 bne tp,t0,210 + 21c: f0000137 lui sp,0xf0000 + 220: 1220fc63 bgeu ra,sp,358 + 224: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 228: 00200293 li t0,2 + 22c: fe5210e3 bne tp,t0,20c -00000234 : - 234: 01200193 li gp,18 - 238: 00000213 li tp,0 - 23c: f00000b7 lui ra,0xf0000 - 240: fff08093 addi ra,ra,-1 # efffffff - 244: f0000137 lui sp,0xf0000 - 248: 1020fa63 bgeu ra,sp,35c - 24c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 250: 00200293 li t0,2 - 254: fe5214e3 bne tp,t0,23c +00000230 : + 230: 01200193 li gp,18 + 234: 00000213 li tp,0 + 238: f00000b7 lui ra,0xf0000 + 23c: fff08093 addi ra,ra,-1 # efffffff + 240: f0000137 lui sp,0xf0000 + 244: 1020fa63 bgeu ra,sp,358 + 248: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 24c: 00200293 li t0,2 + 250: fe5214e3 bne tp,t0,238 -00000258 : - 258: 01300193 li gp,19 - 25c: 00000213 li tp,0 - 260: f00000b7 lui ra,0xf0000 - 264: fff08093 addi ra,ra,-1 # efffffff - 268: f0000137 lui sp,0xf0000 - 26c: 00000013 nop - 270: 0e20f663 bgeu ra,sp,35c - 274: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 278: 00200293 li t0,2 - 27c: fe5212e3 bne tp,t0,260 +00000254 : + 254: 01300193 li gp,19 + 258: 00000213 li tp,0 + 25c: f00000b7 lui ra,0xf0000 + 260: fff08093 addi ra,ra,-1 # efffffff + 264: f0000137 lui sp,0xf0000 + 268: 00000013 nop + 26c: 0e20f663 bgeu ra,sp,358 + 270: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 274: 00200293 li t0,2 + 278: fe5212e3 bne tp,t0,25c -00000280 : - 280: 01400193 li gp,20 - 284: 00000213 li tp,0 - 288: f00000b7 lui ra,0xf0000 - 28c: fff08093 addi ra,ra,-1 # efffffff - 290: f0000137 lui sp,0xf0000 +0000027c : + 27c: 01400193 li gp,20 + 280: 00000213 li tp,0 + 284: f00000b7 lui ra,0xf0000 + 288: fff08093 addi ra,ra,-1 # efffffff + 28c: f0000137 lui sp,0xf0000 + 290: 00000013 nop 294: 00000013 nop - 298: 00000013 nop - 29c: 0c20f063 bgeu ra,sp,35c - 2a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2a4: 00200293 li t0,2 - 2a8: fe5210e3 bne tp,t0,288 + 298: 0c20f063 bgeu ra,sp,358 + 29c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2a0: 00200293 li t0,2 + 2a4: fe5210e3 bne tp,t0,284 -000002ac : - 2ac: 01500193 li gp,21 - 2b0: 00000213 li tp,0 - 2b4: f00000b7 lui ra,0xf0000 - 2b8: fff08093 addi ra,ra,-1 # efffffff - 2bc: 00000013 nop - 2c0: f0000137 lui sp,0xf0000 - 2c4: 0820fc63 bgeu ra,sp,35c - 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2cc: 00200293 li t0,2 - 2d0: fe5212e3 bne tp,t0,2b4 +000002a8 : + 2a8: 01500193 li gp,21 + 2ac: 00000213 li tp,0 + 2b0: f00000b7 lui ra,0xf0000 + 2b4: fff08093 addi ra,ra,-1 # efffffff + 2b8: 00000013 nop + 2bc: f0000137 lui sp,0xf0000 + 2c0: 0820fc63 bgeu ra,sp,358 + 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c8: 00200293 li t0,2 + 2cc: fe5212e3 bne tp,t0,2b0 -000002d4 : - 2d4: 01600193 li gp,22 - 2d8: 00000213 li tp,0 - 2dc: f00000b7 lui ra,0xf0000 - 2e0: fff08093 addi ra,ra,-1 # efffffff - 2e4: 00000013 nop - 2e8: f0000137 lui sp,0xf0000 - 2ec: 00000013 nop - 2f0: 0620f663 bgeu ra,sp,35c - 2f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f8: 00200293 li t0,2 - 2fc: fe5210e3 bne tp,t0,2dc +000002d0 : + 2d0: 01600193 li gp,22 + 2d4: 00000213 li tp,0 + 2d8: f00000b7 lui ra,0xf0000 + 2dc: fff08093 addi ra,ra,-1 # efffffff + 2e0: 00000013 nop + 2e4: f0000137 lui sp,0xf0000 + 2e8: 00000013 nop + 2ec: 0620f663 bgeu ra,sp,358 + 2f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2f4: 00200293 li t0,2 + 2f8: fe5210e3 bne tp,t0,2d8 -00000300 : - 300: 01700193 li gp,23 - 304: 00000213 li tp,0 - 308: f00000b7 lui ra,0xf0000 - 30c: fff08093 addi ra,ra,-1 # efffffff +000002fc : + 2fc: 01700193 li gp,23 + 300: 00000213 li tp,0 + 304: f00000b7 lui ra,0xf0000 + 308: fff08093 addi ra,ra,-1 # efffffff + 30c: 00000013 nop 310: 00000013 nop - 314: 00000013 nop - 318: f0000137 lui sp,0xf0000 - 31c: 0420f063 bgeu ra,sp,35c - 320: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 324: 00200293 li t0,2 - 328: fe5210e3 bne tp,t0,308 + 314: f0000137 lui sp,0xf0000 + 318: 0420f063 bgeu ra,sp,358 + 31c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 320: 00200293 li t0,2 + 324: fe5210e3 bne tp,t0,304 -0000032c : - 32c: 00100093 li ra,1 - 330: 0000fa63 bgeu ra,zero,344 +00000328 : + 328: 00100093 li ra,1 + 32c: 0000fa63 bgeu ra,zero,340 + 330: 00108093 addi ra,ra,1 334: 00108093 addi ra,ra,1 338: 00108093 addi ra,ra,1 33c: 00108093 addi ra,ra,1 340: 00108093 addi ra,ra,1 344: 00108093 addi ra,ra,1 - 348: 00108093 addi ra,ra,1 - 34c: 00300e93 li t4,3 - 350: 01800193 li gp,24 - 354: 01d09463 bne ra,t4,35c - 358: 00301863 bne zero,gp,368 + 348: 00300e93 li t4,3 + 34c: 01800193 li gp,24 + 350: 01d09463 bne ra,t4,358 + 354: 00301663 bne zero,gp,360 -0000035c : - 35c: 00000d93 li s11,0 - 360: 00100d13 li s10,1 +00000358 : + 358: 1000d073 csrwi sstatus,1 -00000364 : - 364: 0000006f j 364 +0000035c : + 35c: 0000006f j 35c -00000368 : - 368: 00100d93 li s11,1 - 36c: 00100d13 li s10,1 +00000360 : + 360: 1001d073 csrwi sstatus,3 -00000370 : - 370: 0000006f j 370 +00000364 : + 364: 0000006f j 364 ... Disassembly of section .tohost: @@ -293,14 +290,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-bgeu.mem b/tests/isa/generated/rv32ui-p-bgeu.mem index 94a6cec..c021ba1 100644 --- a/tests/isa/generated/rv32ui-p-bgeu.mem +++ b/tests/isa/generated/rv32ui-p-bgeu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 00000113 @@ -212,12 +211,10 @@ fe5210e3 00300e93 01800193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -256,3 +253,6 @@ fe5210e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bgeu.verilog b/tests/isa/generated/rv32ui-p-bgeu.verilog index 13e33c0..7602395 100755 --- a/tests/isa/generated/rv32ui-p-bgeu.verilog +++ b/tests/isa/generated/rv32ui-p-bgeu.verilog @@ -1,60 +1,60 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 F6 20 00 63 12 30 34 63 16 30 00 -E3 FE 20 FE 63 1C 30 32 93 01 30 00 93 00 10 00 -13 01 10 00 63 F6 20 00 63 12 30 32 63 16 30 00 -E3 FE 20 FE 63 1C 30 30 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 F6 20 00 63 12 30 30 63 16 30 00 -E3 FE 20 FE 63 1C 30 2E 93 01 50 00 93 00 10 00 -13 01 00 00 63 F6 20 00 63 12 30 2E 63 16 30 00 -E3 FE 20 FE 63 1C 30 2C 93 01 60 00 93 00 F0 FF -13 01 E0 FF 63 F6 20 00 63 12 30 2C 63 16 30 00 -E3 FE 20 FE 63 1C 30 2A 93 01 70 00 93 00 F0 FF -13 01 00 00 63 F6 20 00 63 12 30 2A 63 16 30 00 -E3 FE 20 FE 63 1C 30 28 93 01 80 00 93 00 00 00 -13 01 10 00 63 F4 20 00 63 14 30 00 63 10 30 28 -E3 FE 20 FE 93 01 90 00 93 00 E0 FF 13 01 F0 FF -63 F4 20 00 63 14 30 00 63 12 30 26 E3 FE 20 FE -93 01 A0 00 93 00 00 00 13 01 F0 FF 63 F4 20 00 -63 14 30 00 63 14 30 24 E3 FE 20 FE 93 01 B0 00 -B7 00 00 80 93 80 F0 FF 37 01 00 80 63 F4 20 00 -63 14 30 00 63 14 30 22 E3 FE 20 FE 93 01 C0 00 +73 50 00 10 93 01 20 00 93 00 00 00 13 01 00 00 +63 F6 20 00 63 12 30 34 63 16 30 00 E3 FE 20 FE +63 1C 30 32 93 01 30 00 93 00 10 00 13 01 10 00 +63 F6 20 00 63 12 30 32 63 16 30 00 E3 FE 20 FE +63 1C 30 30 93 01 40 00 93 00 F0 FF 13 01 F0 FF +63 F6 20 00 63 12 30 30 63 16 30 00 E3 FE 20 FE +63 1C 30 2E 93 01 50 00 93 00 10 00 13 01 00 00 +63 F6 20 00 63 12 30 2E 63 16 30 00 E3 FE 20 FE +63 1C 30 2C 93 01 60 00 93 00 F0 FF 13 01 E0 FF +63 F6 20 00 63 12 30 2C 63 16 30 00 E3 FE 20 FE +63 1C 30 2A 93 01 70 00 93 00 F0 FF 13 01 00 00 +63 F6 20 00 63 12 30 2A 63 16 30 00 E3 FE 20 FE +63 1C 30 28 93 01 80 00 93 00 00 00 13 01 10 00 +63 F4 20 00 63 14 30 00 63 10 30 28 E3 FE 20 FE +93 01 90 00 93 00 E0 FF 13 01 F0 FF 63 F4 20 00 +63 14 30 00 63 12 30 26 E3 FE 20 FE 93 01 A0 00 +93 00 00 00 13 01 F0 FF 63 F4 20 00 63 14 30 00 +63 14 30 24 E3 FE 20 FE 93 01 B0 00 B7 00 00 80 +93 80 F0 FF 37 01 00 80 63 F4 20 00 63 14 30 00 +63 14 30 22 E3 FE 20 FE 93 01 C0 00 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 37 01 00 F0 63 F6 20 20 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0 -63 F6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF -37 01 00 F0 13 00 00 00 63 F2 20 1E 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 E0 00 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 -13 00 00 00 63 FC 20 1A 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 13 00 00 00 37 01 00 F0 63 F8 20 18 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 00 01 +13 00 00 00 63 F2 20 1E 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 E0 00 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 37 01 00 F0 13 00 00 00 13 00 00 00 +63 FC 20 1A 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 F0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF +13 00 00 00 37 01 00 F0 63 F8 20 18 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 13 00 00 00 37 01 00 F0 +13 00 00 00 63 F2 20 16 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 10 01 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 13 00 00 00 13 00 00 00 37 01 00 F0 +63 FC 20 12 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 20 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF +37 01 00 F0 63 FA 20 10 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 37 01 00 F0 13 00 00 00 63 F6 20 0E +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 40 01 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0 +13 00 00 00 13 00 00 00 63 F0 20 0C 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 50 01 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 13 00 00 00 37 01 00 F0 +63 FC 20 08 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 60 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF +13 00 00 00 37 01 00 F0 13 00 00 00 63 F6 20 06 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 -37 01 00 F0 13 00 00 00 63 F2 20 16 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 01 10 01 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 13 00 00 00 13 00 00 00 -37 01 00 F0 63 FC 20 12 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 20 01 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 37 01 00 F0 63 FA 20 10 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 -63 F6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF -37 01 00 F0 13 00 00 00 13 00 00 00 63 F0 20 0C -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 50 01 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 -37 01 00 F0 63 FC 20 08 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 60 01 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 13 00 00 00 37 01 00 F0 13 00 00 00 -63 F6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF -13 00 00 00 13 00 00 00 37 01 00 F0 63 F0 20 04 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 -63 FA 00 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 80 01 63 94 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +13 00 00 00 37 01 00 F0 63 F0 20 04 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 00 10 00 63 FA 00 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01 +63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @000003C0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-blt b/tests/isa/generated/rv32ui-p-blt index 3e4bc9fab541645d47091e18bb6e404a4dd4300d..7f0be5f5498318998f33abff5bebb06479567b62 100755 GIT binary patch delta 523 zcmZ2ru*6`30wd2x#rym$#Q_Wgn>hsbG6{$oFce>45Xfg>0Md*C`4bbj%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$p?fj>REs?5WvW=6395hgy4g8sld1lj0{ph zwhIzp5y(zK;%fle4M==_AbSoH-wep!fyB3EV5n!ff+PSk{0kD_2gsISgb6S*gaDZi zNcoa!d~rKL^O)g2XQY@~AM{6G?D0V+^H3V|LV-vx<31<21q;)8rQ z1&O~I$UlR`UjpQxK;o|h@-HCqcT6r7O=8Q>O)*G!-F#PcJ0qjqLfN>cZ8Ki)0 z4p-e{|*v=$K*=UB({vea^FnL%@0MlGcrm|7L*X4yhdDramnPp X;_9qdL>U-9fyMp+#g0wpl@JF2HdZ_W diff --git a/tests/isa/generated/rv32ui-p-blt.bin b/tests/isa/generated/rv32ui-p-blt.bin index 3df9066c6b2963e7ac523e6c0dceab3f9ab93994..cffc53a117ee4b8d8d6e09fdfd28a0b138ff659a 100755 GIT binary patch delta 38 scmeBR?_g&s4qy=2$g!76K+J%l_yU7KJ_7@gW)#Su{E%t+: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 00100113 li sp,1 - 14: 0020c663 blt ra,sp,20 - 18: 2a301863 bne zero,gp,2c8 - 1c: 00301663 bne zero,gp,28 - 20: fe20cee3 blt ra,sp,1c - 24: 2a301263 bne zero,gp,2c8 +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 00100113 li sp,1 + 10: 0020c663 blt ra,sp,1c + 14: 2a301863 bne zero,gp,2c4 + 18: 00301663 bne zero,gp,24 + 1c: fe20cee3 blt ra,sp,18 + 20: 2a301263 bne zero,gp,2c4 -00000028 : - 28: 00300193 li gp,3 - 2c: fff00093 li ra,-1 - 30: 00100113 li sp,1 - 34: 0020c663 blt ra,sp,40 - 38: 28301863 bne zero,gp,2c8 - 3c: 00301663 bne zero,gp,48 - 40: fe20cee3 blt ra,sp,3c - 44: 28301263 bne zero,gp,2c8 +00000024 : + 24: 00300193 li gp,3 + 28: fff00093 li ra,-1 + 2c: 00100113 li sp,1 + 30: 0020c663 blt ra,sp,3c + 34: 28301863 bne zero,gp,2c4 + 38: 00301663 bne zero,gp,44 + 3c: fe20cee3 blt ra,sp,38 + 40: 28301263 bne zero,gp,2c4 -00000048 : - 48: 00400193 li gp,4 - 4c: ffe00093 li ra,-2 - 50: fff00113 li sp,-1 - 54: 0020c663 blt ra,sp,60 - 58: 26301863 bne zero,gp,2c8 - 5c: 00301663 bne zero,gp,68 - 60: fe20cee3 blt ra,sp,5c - 64: 26301263 bne zero,gp,2c8 +00000044 : + 44: 00400193 li gp,4 + 48: ffe00093 li ra,-2 + 4c: fff00113 li sp,-1 + 50: 0020c663 blt ra,sp,5c + 54: 26301863 bne zero,gp,2c4 + 58: 00301663 bne zero,gp,64 + 5c: fe20cee3 blt ra,sp,58 + 60: 26301263 bne zero,gp,2c4 -00000068 : - 68: 00500193 li gp,5 - 6c: 00100093 li ra,1 - 70: 00000113 li sp,0 - 74: 0020c463 blt ra,sp,7c - 78: 00301463 bne zero,gp,80 - 7c: 24301663 bne zero,gp,2c8 - 80: fe20cee3 blt ra,sp,7c +00000064 : + 64: 00500193 li gp,5 + 68: 00100093 li ra,1 + 6c: 00000113 li sp,0 + 70: 0020c463 blt ra,sp,78 + 74: 00301463 bne zero,gp,7c + 78: 24301663 bne zero,gp,2c4 + 7c: fe20cee3 blt ra,sp,78 -00000084 : - 84: 00600193 li gp,6 - 88: 00100093 li ra,1 - 8c: fff00113 li sp,-1 - 90: 0020c463 blt ra,sp,98 - 94: 00301463 bne zero,gp,9c - 98: 22301863 bne zero,gp,2c8 - 9c: fe20cee3 blt ra,sp,98 +00000080 : + 80: 00600193 li gp,6 + 84: 00100093 li ra,1 + 88: fff00113 li sp,-1 + 8c: 0020c463 blt ra,sp,94 + 90: 00301463 bne zero,gp,98 + 94: 22301863 bne zero,gp,2c4 + 98: fe20cee3 blt ra,sp,94 -000000a0 : - a0: 00700193 li gp,7 - a4: fff00093 li ra,-1 - a8: ffe00113 li sp,-2 - ac: 0020c463 blt ra,sp,b4 - b0: 00301463 bne zero,gp,b8 - b4: 20301a63 bne zero,gp,2c8 - b8: fe20cee3 blt ra,sp,b4 +0000009c : + 9c: 00700193 li gp,7 + a0: fff00093 li ra,-1 + a4: ffe00113 li sp,-2 + a8: 0020c463 blt ra,sp,b0 + ac: 00301463 bne zero,gp,b4 + b0: 20301a63 bne zero,gp,2c4 + b4: fe20cee3 blt ra,sp,b0 -000000bc : - bc: 00800193 li gp,8 - c0: 00100093 li ra,1 - c4: ffe00113 li sp,-2 - c8: 0020c463 blt ra,sp,d0 - cc: 00301463 bne zero,gp,d4 - d0: 1e301c63 bne zero,gp,2c8 - d4: fe20cee3 blt ra,sp,d0 +000000b8 : + b8: 00800193 li gp,8 + bc: 00100093 li ra,1 + c0: ffe00113 li sp,-2 + c4: 0020c463 blt ra,sp,cc + c8: 00301463 bne zero,gp,d0 + cc: 1e301c63 bne zero,gp,2c4 + d0: fe20cee3 blt ra,sp,cc -000000d8 : - d8: 00900193 li gp,9 - dc: 00000213 li tp,0 - e0: 00000093 li ra,0 - e4: fff00113 li sp,-1 - e8: 1e20c063 blt ra,sp,2c8 - ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - f0: 00200293 li t0,2 - f4: fe5216e3 bne tp,t0,e0 +000000d4 : + d4: 00900193 li gp,9 + d8: 00000213 li tp,0 + dc: 00000093 li ra,0 + e0: fff00113 li sp,-1 + e4: 1e20c063 blt ra,sp,2c4 + e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + ec: 00200293 li t0,2 + f0: fe5216e3 bne tp,t0,dc -000000f8 : - f8: 00a00193 li gp,10 - fc: 00000213 li tp,0 - 100: 00000093 li ra,0 - 104: fff00113 li sp,-1 - 108: 00000013 nop - 10c: 1a20ce63 blt ra,sp,2c8 - 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 114: 00200293 li t0,2 - 118: fe5214e3 bne tp,t0,100 +000000f4 : + f4: 00a00193 li gp,10 + f8: 00000213 li tp,0 + fc: 00000093 li ra,0 + 100: fff00113 li sp,-1 + 104: 00000013 nop + 108: 1a20ce63 blt ra,sp,2c4 + 10c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 110: 00200293 li t0,2 + 114: fe5214e3 bne tp,t0,fc -0000011c : - 11c: 00b00193 li gp,11 - 120: 00000213 li tp,0 - 124: 00000093 li ra,0 - 128: fff00113 li sp,-1 +00000118 : + 118: 00b00193 li gp,11 + 11c: 00000213 li tp,0 + 120: 00000093 li ra,0 + 124: fff00113 li sp,-1 + 128: 00000013 nop 12c: 00000013 nop - 130: 00000013 nop - 134: 1820ca63 blt ra,sp,2c8 - 138: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 13c: 00200293 li t0,2 - 140: fe5212e3 bne tp,t0,124 + 130: 1820ca63 blt ra,sp,2c4 + 134: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 138: 00200293 li t0,2 + 13c: fe5212e3 bne tp,t0,120 -00000144 : - 144: 00c00193 li gp,12 - 148: 00000213 li tp,0 - 14c: 00000093 li ra,0 - 150: 00000013 nop - 154: fff00113 li sp,-1 - 158: 1620c863 blt ra,sp,2c8 - 15c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 160: 00200293 li t0,2 - 164: fe5214e3 bne tp,t0,14c +00000140 : + 140: 00c00193 li gp,12 + 144: 00000213 li tp,0 + 148: 00000093 li ra,0 + 14c: 00000013 nop + 150: fff00113 li sp,-1 + 154: 1620c863 blt ra,sp,2c4 + 158: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 15c: 00200293 li t0,2 + 160: fe5214e3 bne tp,t0,148 -00000168 : - 168: 00d00193 li gp,13 - 16c: 00000213 li tp,0 - 170: 00000093 li ra,0 - 174: 00000013 nop - 178: fff00113 li sp,-1 - 17c: 00000013 nop - 180: 1420c463 blt ra,sp,2c8 - 184: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 188: 00200293 li t0,2 - 18c: fe5212e3 bne tp,t0,170 +00000164 : + 164: 00d00193 li gp,13 + 168: 00000213 li tp,0 + 16c: 00000093 li ra,0 + 170: 00000013 nop + 174: fff00113 li sp,-1 + 178: 00000013 nop + 17c: 1420c463 blt ra,sp,2c4 + 180: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 184: 00200293 li t0,2 + 188: fe5212e3 bne tp,t0,16c -00000190 : - 190: 00e00193 li gp,14 - 194: 00000213 li tp,0 - 198: 00000093 li ra,0 +0000018c : + 18c: 00e00193 li gp,14 + 190: 00000213 li tp,0 + 194: 00000093 li ra,0 + 198: 00000013 nop 19c: 00000013 nop - 1a0: 00000013 nop - 1a4: fff00113 li sp,-1 - 1a8: 1220c063 blt ra,sp,2c8 - 1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1b0: 00200293 li t0,2 - 1b4: fe5212e3 bne tp,t0,198 + 1a0: fff00113 li sp,-1 + 1a4: 1220c063 blt ra,sp,2c4 + 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ac: 00200293 li t0,2 + 1b0: fe5212e3 bne tp,t0,194 -000001b8 : - 1b8: 00f00193 li gp,15 - 1bc: 00000213 li tp,0 - 1c0: 00000093 li ra,0 - 1c4: fff00113 li sp,-1 - 1c8: 1020c063 blt ra,sp,2c8 - 1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d0: 00200293 li t0,2 - 1d4: fe5216e3 bne tp,t0,1c0 +000001b4 : + 1b4: 00f00193 li gp,15 + 1b8: 00000213 li tp,0 + 1bc: 00000093 li ra,0 + 1c0: fff00113 li sp,-1 + 1c4: 1020c063 blt ra,sp,2c4 + 1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1cc: 00200293 li t0,2 + 1d0: fe5216e3 bne tp,t0,1bc -000001d8 : - 1d8: 01000193 li gp,16 - 1dc: 00000213 li tp,0 - 1e0: 00000093 li ra,0 - 1e4: fff00113 li sp,-1 - 1e8: 00000013 nop - 1ec: 0c20ce63 blt ra,sp,2c8 - 1f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1f4: 00200293 li t0,2 - 1f8: fe5214e3 bne tp,t0,1e0 +000001d4 : + 1d4: 01000193 li gp,16 + 1d8: 00000213 li tp,0 + 1dc: 00000093 li ra,0 + 1e0: fff00113 li sp,-1 + 1e4: 00000013 nop + 1e8: 0c20ce63 blt ra,sp,2c4 + 1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f0: 00200293 li t0,2 + 1f4: fe5214e3 bne tp,t0,1dc -000001fc : - 1fc: 01100193 li gp,17 - 200: 00000213 li tp,0 - 204: 00000093 li ra,0 - 208: fff00113 li sp,-1 +000001f8 : + 1f8: 01100193 li gp,17 + 1fc: 00000213 li tp,0 + 200: 00000093 li ra,0 + 204: fff00113 li sp,-1 + 208: 00000013 nop 20c: 00000013 nop - 210: 00000013 nop - 214: 0a20ca63 blt ra,sp,2c8 - 218: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 21c: 00200293 li t0,2 - 220: fe5212e3 bne tp,t0,204 + 210: 0a20ca63 blt ra,sp,2c4 + 214: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 218: 00200293 li t0,2 + 21c: fe5212e3 bne tp,t0,200 -00000224 : - 224: 01200193 li gp,18 - 228: 00000213 li tp,0 - 22c: 00000093 li ra,0 - 230: 00000013 nop - 234: fff00113 li sp,-1 - 238: 0820c863 blt ra,sp,2c8 - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fe5214e3 bne tp,t0,22c +00000220 : + 220: 01200193 li gp,18 + 224: 00000213 li tp,0 + 228: 00000093 li ra,0 + 22c: 00000013 nop + 230: fff00113 li sp,-1 + 234: 0820c863 blt ra,sp,2c4 + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fe5214e3 bne tp,t0,228 -00000248 : - 248: 01300193 li gp,19 - 24c: 00000213 li tp,0 - 250: 00000093 li ra,0 - 254: 00000013 nop - 258: fff00113 li sp,-1 - 25c: 00000013 nop - 260: 0620c463 blt ra,sp,2c8 - 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 268: 00200293 li t0,2 - 26c: fe5212e3 bne tp,t0,250 +00000244 : + 244: 01300193 li gp,19 + 248: 00000213 li tp,0 + 24c: 00000093 li ra,0 + 250: 00000013 nop + 254: fff00113 li sp,-1 + 258: 00000013 nop + 25c: 0620c463 blt ra,sp,2c4 + 260: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 264: 00200293 li t0,2 + 268: fe5212e3 bne tp,t0,24c -00000270 : - 270: 01400193 li gp,20 - 274: 00000213 li tp,0 - 278: 00000093 li ra,0 +0000026c : + 26c: 01400193 li gp,20 + 270: 00000213 li tp,0 + 274: 00000093 li ra,0 + 278: 00000013 nop 27c: 00000013 nop - 280: 00000013 nop - 284: fff00113 li sp,-1 - 288: 0420c063 blt ra,sp,2c8 - 28c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 290: 00200293 li t0,2 - 294: fe5212e3 bne tp,t0,278 + 280: fff00113 li sp,-1 + 284: 0420c063 blt ra,sp,2c4 + 288: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 28c: 00200293 li t0,2 + 290: fe5212e3 bne tp,t0,274 -00000298 : - 298: 00100093 li ra,1 - 29c: 00104a63 bgtz ra,2b0 +00000294 : + 294: 00100093 li ra,1 + 298: 00104a63 bgtz ra,2ac + 29c: 00108093 addi ra,ra,1 2a0: 00108093 addi ra,ra,1 2a4: 00108093 addi ra,ra,1 2a8: 00108093 addi ra,ra,1 2ac: 00108093 addi ra,ra,1 2b0: 00108093 addi ra,ra,1 - 2b4: 00108093 addi ra,ra,1 - 2b8: 00300e93 li t4,3 - 2bc: 01500193 li gp,21 - 2c0: 01d09463 bne ra,t4,2c8 - 2c4: 00301863 bne zero,gp,2d4 + 2b4: 00300e93 li t4,3 + 2b8: 01500193 li gp,21 + 2bc: 01d09463 bne ra,t4,2c4 + 2c0: 00301663 bne zero,gp,2cc -000002c8 : - 2c8: 00000d93 li s11,0 - 2cc: 00100d13 li s10,1 +000002c4 : + 2c4: 1000d073 csrwi sstatus,1 -000002d0 : - 2d0: 0000006f j 2d0 +000002c8 : + 2c8: 0000006f j 2c8 -000002d4 : - 2d4: 00100d93 li s11,1 - 2d8: 00100d13 li s10,1 +000002cc : + 2cc: 1001d073 csrwi sstatus,3 -000002dc : - 2dc: 0000006f j 2dc +000002d0 : + 2d0: 0000006f j 2d0 ... Disassembly of section .tohost: @@ -250,14 +247,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-blt.mem b/tests/isa/generated/rv32ui-p-blt.mem index 4f9fe9e..75a2ca8 100644 --- a/tests/isa/generated/rv32ui-p-blt.mem +++ b/tests/isa/generated/rv32ui-p-blt.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 00100113 @@ -175,12 +174,10 @@ fe5212e3 00300e93 01500193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -224,3 +221,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-blt.verilog b/tests/isa/generated/rv32ui-p-blt.verilog index eae92dc..ba97dcd 100755 --- a/tests/isa/generated/rv32ui-p-blt.verilog +++ b/tests/isa/generated/rv32ui-p-blt.verilog @@ -1,50 +1,50 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 C6 20 00 63 18 30 2A 63 16 30 00 -E3 CE 20 FE 63 12 30 2A 93 01 30 00 93 00 F0 FF -13 01 10 00 63 C6 20 00 63 18 30 28 63 16 30 00 -E3 CE 20 FE 63 12 30 28 93 01 40 00 93 00 E0 FF -13 01 F0 FF 63 C6 20 00 63 18 30 26 63 16 30 00 -E3 CE 20 FE 63 12 30 26 93 01 50 00 93 00 10 00 -13 01 00 00 63 C4 20 00 63 14 30 00 63 16 30 24 -E3 CE 20 FE 93 01 60 00 93 00 10 00 13 01 F0 FF -63 C4 20 00 63 14 30 00 63 18 30 22 E3 CE 20 FE -93 01 70 00 93 00 F0 FF 13 01 E0 FF 63 C4 20 00 -63 14 30 00 63 1A 30 20 E3 CE 20 FE 93 01 80 00 -93 00 10 00 13 01 E0 FF 63 C4 20 00 63 14 30 00 -63 1C 30 1E E3 CE 20 FE 93 01 90 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 C0 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 CA 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 C8 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 C4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 C0 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 C0 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 CA 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 C8 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 C4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 C0 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 4A 10 00 +73 50 00 10 93 01 20 00 93 00 00 00 13 01 10 00 +63 C6 20 00 63 18 30 2A 63 16 30 00 E3 CE 20 FE +63 12 30 2A 93 01 30 00 93 00 F0 FF 13 01 10 00 +63 C6 20 00 63 18 30 28 63 16 30 00 E3 CE 20 FE +63 12 30 28 93 01 40 00 93 00 E0 FF 13 01 F0 FF +63 C6 20 00 63 18 30 26 63 16 30 00 E3 CE 20 FE +63 12 30 26 93 01 50 00 93 00 10 00 13 01 00 00 +63 C4 20 00 63 14 30 00 63 16 30 24 E3 CE 20 FE +93 01 60 00 93 00 10 00 13 01 F0 FF 63 C4 20 00 +63 14 30 00 63 18 30 22 E3 CE 20 FE 93 01 70 00 +93 00 F0 FF 13 01 E0 FF 63 C4 20 00 63 14 30 00 +63 1A 30 20 E3 CE 20 FE 93 01 80 00 93 00 10 00 +13 01 E0 FF 63 C4 20 00 63 14 30 00 63 1C 30 1E +E3 CE 20 FE 93 01 90 00 13 02 00 00 93 00 00 00 +13 01 F0 FF 63 C0 20 1E 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 01 A0 00 13 02 00 00 93 00 00 00 +13 01 F0 FF 13 00 00 00 63 CE 20 1A 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 B0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00 +63 CA 20 18 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 C0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 01 F0 FF 63 C8 20 16 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 D0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 13 00 00 00 63 C4 20 14 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 E0 00 +13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00 +13 01 F0 FF 63 C0 20 12 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 00 00 +13 01 F0 FF 63 C0 20 10 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 01 00 01 13 02 00 00 93 00 00 00 +13 01 F0 FF 13 00 00 00 63 CE 20 0C 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 10 01 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00 +63 CA 20 0A 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 20 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 01 F0 FF 63 C8 20 08 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 30 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 13 00 00 00 63 C4 20 06 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 40 01 +13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00 +13 01 F0 FF 63 C0 20 04 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 00 10 00 63 4A 10 00 93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 -63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +93 80 10 00 93 0E 30 00 93 01 50 01 63 94 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bltu b/tests/isa/generated/rv32ui-p-bltu index 47a0ddfa33ef24ef4f03dd15c6daac3c7e380cac..87d51c17e7774bdc0ad04736dcaae5c291e43909 100755 GIT binary patch delta 522 zcmaE0@Wfz(0;A7H#rym$#Q_Wgn>hqNG6{$oFce>45Xfg>0Md*C`4bZl%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfGNg@{YEIb5&#+g2Z`?kWUDa31Q;1YfXo0S zehiRbfy4(nW(g8M2gtvG#4iEzzaa5zfc7)UAo;ijsK5h>-vi_qAn~UF`E!u?Am5!p z;%^4>8JIx?2rx1%0g``^AUt!c?pdUCZnyVy*2GgSOB=;qL&4oXHBqk{!Osijk)i=%^b9UVG2 zw2L4atl*#E=qTvW(M2Z*1)oHU??H0k&zry{li)45tZ@C#e=WE=Ph@e$j{3+L6Qt02 zMJJRzP?WZv)nhY{NvnBw&>=1$v#ri@tywz_uJ#wKQ(W)482&A$5#l@ZZ;h-@NlayQ zUD!t-2`BHK3ooGu!mH><;Z33*y@Ucb9UQ!zq5EZf&c&j diff --git a/tests/isa/generated/rv32ui-p-bltu.bin b/tests/isa/generated/rv32ui-p-bltu.bin index 71df4403ec5aed4709e662ac75432a662a45e7de..5a6b8ff22d1037c33820397eaae4e89d7974871f 100755 GIT binary patch delta 37 rcmX@XeuAB)IDkQ5BgaQ3elY`v;tLD{`3wv|no(e~0P~K?3d}qJ!`}(5 delta 46 rcmX@XeuAAtn3sWJG7xWM`N$+8VE|?e^9nHJGl0Ye7{Khwg3Q|i&*BJM diff --git a/tests/isa/generated/rv32ui-p-bltu.dump b/tests/isa/generated/rv32ui-p-bltu.dump index 0338d1d..3fcd6a7 100644 --- a/tests/isa/generated/rv32ui-p-bltu.dump +++ b/tests/isa/generated/rv32ui-p-bltu.dump @@ -5,251 +5,248 @@ generated/rv32ui-p-bltu: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 00100113 li sp,1 - 14: 0020e663 bltu ra,sp,20 - 18: 2e301263 bne zero,gp,2fc - 1c: 00301663 bne zero,gp,28 - 20: fe20eee3 bltu ra,sp,1c - 24: 2c301c63 bne zero,gp,2fc +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 00100113 li sp,1 + 10: 0020e663 bltu ra,sp,1c + 14: 2e301263 bne zero,gp,2f8 + 18: 00301663 bne zero,gp,24 + 1c: fe20eee3 bltu ra,sp,18 + 20: 2c301c63 bne zero,gp,2f8 -00000028 : - 28: 00300193 li gp,3 - 2c: ffe00093 li ra,-2 - 30: fff00113 li sp,-1 - 34: 0020e663 bltu ra,sp,40 - 38: 2c301263 bne zero,gp,2fc - 3c: 00301663 bne zero,gp,48 - 40: fe20eee3 bltu ra,sp,3c - 44: 2a301c63 bne zero,gp,2fc +00000024 : + 24: 00300193 li gp,3 + 28: ffe00093 li ra,-2 + 2c: fff00113 li sp,-1 + 30: 0020e663 bltu ra,sp,3c + 34: 2c301263 bne zero,gp,2f8 + 38: 00301663 bne zero,gp,44 + 3c: fe20eee3 bltu ra,sp,38 + 40: 2a301c63 bne zero,gp,2f8 -00000048 : - 48: 00400193 li gp,4 - 4c: 00000093 li ra,0 - 50: fff00113 li sp,-1 - 54: 0020e663 bltu ra,sp,60 - 58: 2a301263 bne zero,gp,2fc - 5c: 00301663 bne zero,gp,68 - 60: fe20eee3 bltu ra,sp,5c - 64: 28301c63 bne zero,gp,2fc +00000044 : + 44: 00400193 li gp,4 + 48: 00000093 li ra,0 + 4c: fff00113 li sp,-1 + 50: 0020e663 bltu ra,sp,5c + 54: 2a301263 bne zero,gp,2f8 + 58: 00301663 bne zero,gp,64 + 5c: fe20eee3 bltu ra,sp,58 + 60: 28301c63 bne zero,gp,2f8 -00000068 : - 68: 00500193 li gp,5 - 6c: 00100093 li ra,1 - 70: 00000113 li sp,0 - 74: 0020e463 bltu ra,sp,7c - 78: 00301463 bne zero,gp,80 - 7c: 28301063 bne zero,gp,2fc - 80: fe20eee3 bltu ra,sp,7c +00000064 : + 64: 00500193 li gp,5 + 68: 00100093 li ra,1 + 6c: 00000113 li sp,0 + 70: 0020e463 bltu ra,sp,78 + 74: 00301463 bne zero,gp,7c + 78: 28301063 bne zero,gp,2f8 + 7c: fe20eee3 bltu ra,sp,78 -00000084 : - 84: 00600193 li gp,6 - 88: fff00093 li ra,-1 - 8c: ffe00113 li sp,-2 - 90: 0020e463 bltu ra,sp,98 - 94: 00301463 bne zero,gp,9c - 98: 26301263 bne zero,gp,2fc - 9c: fe20eee3 bltu ra,sp,98 +00000080 : + 80: 00600193 li gp,6 + 84: fff00093 li ra,-1 + 88: ffe00113 li sp,-2 + 8c: 0020e463 bltu ra,sp,94 + 90: 00301463 bne zero,gp,98 + 94: 26301263 bne zero,gp,2f8 + 98: fe20eee3 bltu ra,sp,94 -000000a0 : - a0: 00700193 li gp,7 - a4: fff00093 li ra,-1 - a8: 00000113 li sp,0 - ac: 0020e463 bltu ra,sp,b4 - b0: 00301463 bne zero,gp,b8 - b4: 24301463 bne zero,gp,2fc - b8: fe20eee3 bltu ra,sp,b4 +0000009c : + 9c: 00700193 li gp,7 + a0: fff00093 li ra,-1 + a4: 00000113 li sp,0 + a8: 0020e463 bltu ra,sp,b0 + ac: 00301463 bne zero,gp,b4 + b0: 24301463 bne zero,gp,2f8 + b4: fe20eee3 bltu ra,sp,b0 -000000bc : - bc: 00800193 li gp,8 - c0: 800000b7 lui ra,0x80000 - c4: 80000137 lui sp,0x80000 - c8: fff10113 addi sp,sp,-1 # 7fffffff - cc: 0020e463 bltu ra,sp,d4 - d0: 00301463 bne zero,gp,d8 - d4: 22301463 bne zero,gp,2fc - d8: fe20eee3 bltu ra,sp,d4 +000000b8 : + b8: 00800193 li gp,8 + bc: 800000b7 lui ra,0x80000 + c0: 80000137 lui sp,0x80000 + c4: fff10113 addi sp,sp,-1 # 7fffffff + c8: 0020e463 bltu ra,sp,d0 + cc: 00301463 bne zero,gp,d4 + d0: 22301463 bne zero,gp,2f8 + d4: fe20eee3 bltu ra,sp,d0 -000000dc : - dc: 00900193 li gp,9 - e0: 00000213 li tp,0 - e4: f00000b7 lui ra,0xf0000 - e8: f0000137 lui sp,0xf0000 - ec: fff10113 addi sp,sp,-1 # efffffff - f0: 2020e663 bltu ra,sp,2fc - f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - f8: 00200293 li t0,2 - fc: fe5214e3 bne tp,t0,e4 +000000d8 : + d8: 00900193 li gp,9 + dc: 00000213 li tp,0 + e0: f00000b7 lui ra,0xf0000 + e4: f0000137 lui sp,0xf0000 + e8: fff10113 addi sp,sp,-1 # efffffff + ec: 2020e663 bltu ra,sp,2f8 + f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + f4: 00200293 li t0,2 + f8: fe5214e3 bne tp,t0,e0 -00000100 : - 100: 00a00193 li gp,10 - 104: 00000213 li tp,0 - 108: f00000b7 lui ra,0xf0000 - 10c: f0000137 lui sp,0xf0000 - 110: fff10113 addi sp,sp,-1 # efffffff - 114: 00000013 nop - 118: 1e20e263 bltu ra,sp,2fc - 11c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 120: 00200293 li t0,2 - 124: fe5212e3 bne tp,t0,108 +000000fc : + fc: 00a00193 li gp,10 + 100: 00000213 li tp,0 + 104: f00000b7 lui ra,0xf0000 + 108: f0000137 lui sp,0xf0000 + 10c: fff10113 addi sp,sp,-1 # efffffff + 110: 00000013 nop + 114: 1e20e263 bltu ra,sp,2f8 + 118: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 11c: 00200293 li t0,2 + 120: fe5212e3 bne tp,t0,104 -00000128 : - 128: 00b00193 li gp,11 - 12c: 00000213 li tp,0 - 130: f00000b7 lui ra,0xf0000 - 134: f0000137 lui sp,0xf0000 - 138: fff10113 addi sp,sp,-1 # efffffff +00000124 : + 124: 00b00193 li gp,11 + 128: 00000213 li tp,0 + 12c: f00000b7 lui ra,0xf0000 + 130: f0000137 lui sp,0xf0000 + 134: fff10113 addi sp,sp,-1 # efffffff + 138: 00000013 nop 13c: 00000013 nop - 140: 00000013 nop - 144: 1a20ec63 bltu ra,sp,2fc - 148: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 14c: 00200293 li t0,2 - 150: fe5210e3 bne tp,t0,130 + 140: 1a20ec63 bltu ra,sp,2f8 + 144: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 148: 00200293 li t0,2 + 14c: fe5210e3 bne tp,t0,12c -00000154 : - 154: 00c00193 li gp,12 - 158: 00000213 li tp,0 - 15c: f00000b7 lui ra,0xf0000 - 160: 00000013 nop - 164: f0000137 lui sp,0xf0000 - 168: fff10113 addi sp,sp,-1 # efffffff - 16c: 1820e863 bltu ra,sp,2fc - 170: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 174: 00200293 li t0,2 - 178: fe5212e3 bne tp,t0,15c +00000150 : + 150: 00c00193 li gp,12 + 154: 00000213 li tp,0 + 158: f00000b7 lui ra,0xf0000 + 15c: 00000013 nop + 160: f0000137 lui sp,0xf0000 + 164: fff10113 addi sp,sp,-1 # efffffff + 168: 1820e863 bltu ra,sp,2f8 + 16c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 170: 00200293 li t0,2 + 174: fe5212e3 bne tp,t0,158 -0000017c : - 17c: 00d00193 li gp,13 - 180: 00000213 li tp,0 - 184: f00000b7 lui ra,0xf0000 - 188: 00000013 nop - 18c: f0000137 lui sp,0xf0000 - 190: fff10113 addi sp,sp,-1 # efffffff - 194: 00000013 nop - 198: 1620e263 bltu ra,sp,2fc - 19c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a0: 00200293 li t0,2 - 1a4: fe5210e3 bne tp,t0,184 +00000178 : + 178: 00d00193 li gp,13 + 17c: 00000213 li tp,0 + 180: f00000b7 lui ra,0xf0000 + 184: 00000013 nop + 188: f0000137 lui sp,0xf0000 + 18c: fff10113 addi sp,sp,-1 # efffffff + 190: 00000013 nop + 194: 1620e263 bltu ra,sp,2f8 + 198: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 19c: 00200293 li t0,2 + 1a0: fe5210e3 bne tp,t0,180 -000001a8 : - 1a8: 00e00193 li gp,14 - 1ac: 00000213 li tp,0 - 1b0: f00000b7 lui ra,0xf0000 +000001a4 : + 1a4: 00e00193 li gp,14 + 1a8: 00000213 li tp,0 + 1ac: f00000b7 lui ra,0xf0000 + 1b0: 00000013 nop 1b4: 00000013 nop - 1b8: 00000013 nop - 1bc: f0000137 lui sp,0xf0000 - 1c0: fff10113 addi sp,sp,-1 # efffffff - 1c4: 1220ec63 bltu ra,sp,2fc - 1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1cc: 00200293 li t0,2 - 1d0: fe5210e3 bne tp,t0,1b0 + 1b8: f0000137 lui sp,0xf0000 + 1bc: fff10113 addi sp,sp,-1 # efffffff + 1c0: 1220ec63 bltu ra,sp,2f8 + 1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c8: 00200293 li t0,2 + 1cc: fe5210e3 bne tp,t0,1ac -000001d4 : - 1d4: 00f00193 li gp,15 - 1d8: 00000213 li tp,0 - 1dc: f00000b7 lui ra,0xf0000 - 1e0: f0000137 lui sp,0xf0000 - 1e4: fff10113 addi sp,sp,-1 # efffffff - 1e8: 1020ea63 bltu ra,sp,2fc - 1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1f0: 00200293 li t0,2 - 1f4: fe5214e3 bne tp,t0,1dc +000001d0 : + 1d0: 00f00193 li gp,15 + 1d4: 00000213 li tp,0 + 1d8: f00000b7 lui ra,0xf0000 + 1dc: f0000137 lui sp,0xf0000 + 1e0: fff10113 addi sp,sp,-1 # efffffff + 1e4: 1020ea63 bltu ra,sp,2f8 + 1e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ec: 00200293 li t0,2 + 1f0: fe5214e3 bne tp,t0,1d8 -000001f8 : - 1f8: 01000193 li gp,16 - 1fc: 00000213 li tp,0 - 200: f00000b7 lui ra,0xf0000 - 204: f0000137 lui sp,0xf0000 - 208: fff10113 addi sp,sp,-1 # efffffff - 20c: 00000013 nop - 210: 0e20e663 bltu ra,sp,2fc - 214: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 218: 00200293 li t0,2 - 21c: fe5212e3 bne tp,t0,200 +000001f4 : + 1f4: 01000193 li gp,16 + 1f8: 00000213 li tp,0 + 1fc: f00000b7 lui ra,0xf0000 + 200: f0000137 lui sp,0xf0000 + 204: fff10113 addi sp,sp,-1 # efffffff + 208: 00000013 nop + 20c: 0e20e663 bltu ra,sp,2f8 + 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 214: 00200293 li t0,2 + 218: fe5212e3 bne tp,t0,1fc -00000220 : - 220: 01100193 li gp,17 - 224: 00000213 li tp,0 - 228: f00000b7 lui ra,0xf0000 - 22c: f0000137 lui sp,0xf0000 - 230: fff10113 addi sp,sp,-1 # efffffff +0000021c : + 21c: 01100193 li gp,17 + 220: 00000213 li tp,0 + 224: f00000b7 lui ra,0xf0000 + 228: f0000137 lui sp,0xf0000 + 22c: fff10113 addi sp,sp,-1 # efffffff + 230: 00000013 nop 234: 00000013 nop - 238: 00000013 nop - 23c: 0c20e063 bltu ra,sp,2fc - 240: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 244: 00200293 li t0,2 - 248: fe5210e3 bne tp,t0,228 + 238: 0c20e063 bltu ra,sp,2f8 + 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 240: 00200293 li t0,2 + 244: fe5210e3 bne tp,t0,224 -0000024c : - 24c: 01200193 li gp,18 - 250: 00000213 li tp,0 - 254: f00000b7 lui ra,0xf0000 - 258: 00000013 nop - 25c: f0000137 lui sp,0xf0000 - 260: fff10113 addi sp,sp,-1 # efffffff - 264: 0820ec63 bltu ra,sp,2fc - 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 26c: 00200293 li t0,2 - 270: fe5212e3 bne tp,t0,254 +00000248 : + 248: 01200193 li gp,18 + 24c: 00000213 li tp,0 + 250: f00000b7 lui ra,0xf0000 + 254: 00000013 nop + 258: f0000137 lui sp,0xf0000 + 25c: fff10113 addi sp,sp,-1 # efffffff + 260: 0820ec63 bltu ra,sp,2f8 + 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 268: 00200293 li t0,2 + 26c: fe5212e3 bne tp,t0,250 -00000274 : - 274: 01300193 li gp,19 - 278: 00000213 li tp,0 - 27c: f00000b7 lui ra,0xf0000 - 280: 00000013 nop - 284: f0000137 lui sp,0xf0000 - 288: fff10113 addi sp,sp,-1 # efffffff - 28c: 00000013 nop - 290: 0620e663 bltu ra,sp,2fc - 294: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 298: 00200293 li t0,2 - 29c: fe5210e3 bne tp,t0,27c +00000270 : + 270: 01300193 li gp,19 + 274: 00000213 li tp,0 + 278: f00000b7 lui ra,0xf0000 + 27c: 00000013 nop + 280: f0000137 lui sp,0xf0000 + 284: fff10113 addi sp,sp,-1 # efffffff + 288: 00000013 nop + 28c: 0620e663 bltu ra,sp,2f8 + 290: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 294: 00200293 li t0,2 + 298: fe5210e3 bne tp,t0,278 -000002a0 : - 2a0: 01400193 li gp,20 - 2a4: 00000213 li tp,0 - 2a8: f00000b7 lui ra,0xf0000 +0000029c : + 29c: 01400193 li gp,20 + 2a0: 00000213 li tp,0 + 2a4: f00000b7 lui ra,0xf0000 + 2a8: 00000013 nop 2ac: 00000013 nop - 2b0: 00000013 nop - 2b4: f0000137 lui sp,0xf0000 - 2b8: fff10113 addi sp,sp,-1 # efffffff - 2bc: 0420e063 bltu ra,sp,2fc - 2c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2c4: 00200293 li t0,2 - 2c8: fe5210e3 bne tp,t0,2a8 + 2b0: f0000137 lui sp,0xf0000 + 2b4: fff10113 addi sp,sp,-1 # efffffff + 2b8: 0420e063 bltu ra,sp,2f8 + 2bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c0: 00200293 li t0,2 + 2c4: fe5210e3 bne tp,t0,2a4 -000002cc : - 2cc: 00100093 li ra,1 - 2d0: 00106a63 bltu zero,ra,2e4 - 2d4: 00108093 addi ra,ra,1 # f0000001 +000002c8 : + 2c8: 00100093 li ra,1 + 2cc: 00106a63 bltu zero,ra,2e0 + 2d0: 00108093 addi ra,ra,1 # f0000001 + 2d4: 00108093 addi ra,ra,1 2d8: 00108093 addi ra,ra,1 2dc: 00108093 addi ra,ra,1 2e0: 00108093 addi ra,ra,1 2e4: 00108093 addi ra,ra,1 - 2e8: 00108093 addi ra,ra,1 - 2ec: 00300e93 li t4,3 - 2f0: 01500193 li gp,21 - 2f4: 01d09463 bne ra,t4,2fc - 2f8: 00301863 bne zero,gp,308 + 2e8: 00300e93 li t4,3 + 2ec: 01500193 li gp,21 + 2f0: 01d09463 bne ra,t4,2f8 + 2f4: 00301663 bne zero,gp,300 -000002fc : - 2fc: 00000d93 li s11,0 - 300: 00100d13 li s10,1 +000002f8 : + 2f8: 1000d073 csrwi sstatus,1 -00000304 : - 304: 0000006f j 304 +000002fc : + 2fc: 0000006f j 2fc -00000308 : - 308: 00100d93 li s11,1 - 30c: 00100d13 li s10,1 +00000300 : + 300: 1001d073 csrwi sstatus,3 -00000310 : - 310: 0000006f j 310 +00000304 : + 304: 0000006f j 304 ... Disassembly of section .tohost: @@ -263,14 +260,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-bltu.mem b/tests/isa/generated/rv32ui-p-bltu.mem index 66bfcbe..fab07e0 100644 --- a/tests/isa/generated/rv32ui-p-bltu.mem +++ b/tests/isa/generated/rv32ui-p-bltu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 00100113 @@ -188,12 +187,10 @@ fe5210e3 00300e93 01500193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -240,3 +237,6 @@ fe5210e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bltu.verilog b/tests/isa/generated/rv32ui-p-bltu.verilog index 50be845..963667a 100755 --- a/tests/isa/generated/rv32ui-p-bltu.verilog +++ b/tests/isa/generated/rv32ui-p-bltu.verilog @@ -1,54 +1,54 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 E6 20 00 63 12 30 2E 63 16 30 00 -E3 EE 20 FE 63 1C 30 2C 93 01 30 00 93 00 E0 FF -13 01 F0 FF 63 E6 20 00 63 12 30 2C 63 16 30 00 -E3 EE 20 FE 63 1C 30 2A 93 01 40 00 93 00 00 00 -13 01 F0 FF 63 E6 20 00 63 12 30 2A 63 16 30 00 -E3 EE 20 FE 63 1C 30 28 93 01 50 00 93 00 10 00 -13 01 00 00 63 E4 20 00 63 14 30 00 63 10 30 28 -E3 EE 20 FE 93 01 60 00 93 00 F0 FF 13 01 E0 FF -63 E4 20 00 63 14 30 00 63 12 30 26 E3 EE 20 FE -93 01 70 00 93 00 F0 FF 13 01 00 00 63 E4 20 00 -63 14 30 00 63 14 30 24 E3 EE 20 FE 93 01 80 00 -B7 00 00 80 37 01 00 80 13 01 F1 FF 63 E4 20 00 -63 14 30 00 63 14 30 22 E3 EE 20 FE 93 01 90 00 +73 50 00 10 93 01 20 00 93 00 00 00 13 01 10 00 +63 E6 20 00 63 12 30 2E 63 16 30 00 E3 EE 20 FE +63 1C 30 2C 93 01 30 00 93 00 E0 FF 13 01 F0 FF +63 E6 20 00 63 12 30 2C 63 16 30 00 E3 EE 20 FE +63 1C 30 2A 93 01 40 00 93 00 00 00 13 01 F0 FF +63 E6 20 00 63 12 30 2A 63 16 30 00 E3 EE 20 FE +63 1C 30 28 93 01 50 00 93 00 10 00 13 01 00 00 +63 E4 20 00 63 14 30 00 63 10 30 28 E3 EE 20 FE +93 01 60 00 93 00 F0 FF 13 01 E0 FF 63 E4 20 00 +63 14 30 00 63 12 30 26 E3 EE 20 FE 93 01 70 00 +93 00 F0 FF 13 01 00 00 63 E4 20 00 63 14 30 00 +63 14 30 24 E3 EE 20 FE 93 01 80 00 B7 00 00 80 +37 01 00 80 13 01 F1 FF 63 E4 20 00 63 14 30 00 +63 14 30 22 E3 EE 20 FE 93 01 90 00 13 02 00 00 +B7 00 00 F0 37 01 00 F0 13 01 F1 FF 63 E6 20 20 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF -63 E6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 -13 01 F1 FF 13 00 00 00 63 E2 20 1E 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 B0 00 13 02 00 00 -B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 -13 00 00 00 63 EC 20 1A 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 C0 00 13 02 00 00 B7 00 00 F0 -13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E8 20 18 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 D0 00 -13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 -13 01 F1 FF 13 00 00 00 63 E2 20 16 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 01 E0 00 13 02 00 00 -B7 00 00 F0 13 00 00 00 13 00 00 00 37 01 00 F0 -13 01 F1 FF 63 EC 20 12 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 -37 01 00 F0 13 01 F1 FF 63 EA 20 10 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 -B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 -63 E6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 10 01 13 02 00 00 B7 00 00 F0 37 01 00 F0 -13 01 F1 FF 13 00 00 00 13 00 00 00 63 E0 20 0C -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 20 01 -13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 -13 01 F1 FF 63 EC 20 08 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0 -13 00 00 00 37 01 00 F0 13 01 F1 FF 13 00 00 00 -63 E6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 40 01 13 02 00 00 B7 00 00 F0 13 00 00 00 -13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E0 20 04 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 -63 6A 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +13 00 00 00 63 E2 20 1E 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 B0 00 13 02 00 00 B7 00 00 F0 +37 01 00 F0 13 01 F1 FF 13 00 00 00 13 00 00 00 +63 EC 20 1A 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 C0 00 13 02 00 00 B7 00 00 F0 13 00 00 00 +37 01 00 F0 13 01 F1 FF 63 E8 20 18 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 D0 00 13 02 00 00 +B7 00 00 F0 13 00 00 00 37 01 00 F0 13 01 F1 FF +13 00 00 00 63 E2 20 16 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 E0 00 13 02 00 00 B7 00 00 F0 +13 00 00 00 13 00 00 00 37 01 00 F0 13 01 F1 FF +63 EC 20 12 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 F0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 +13 01 F1 FF 63 EA 20 10 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 01 00 01 13 02 00 00 B7 00 00 F0 +37 01 00 F0 13 01 F1 FF 13 00 00 00 63 E6 20 0E +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 10 01 +13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF +13 00 00 00 13 00 00 00 63 E0 20 0C 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 20 01 13 02 00 00 +B7 00 00 F0 13 00 00 00 37 01 00 F0 13 01 F1 FF +63 EC 20 08 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 30 01 13 02 00 00 B7 00 00 F0 13 00 00 00 +37 01 00 F0 13 01 F1 FF 13 00 00 00 63 E6 20 06 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 40 01 +13 02 00 00 B7 00 00 F0 13 00 00 00 13 00 00 00 +37 01 00 F0 13 01 F1 FF 63 E0 20 04 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 00 10 00 63 6A 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 +63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bne b/tests/isa/generated/rv32ui-p-bne index 01cc3e933a66d5aa56d6ebf97675b41287b9b854..80a1500be9b35bde88cadb6b187748c2c1fc224f 100755 GIT binary patch delta 523 zcmZ2ru*6`30wd2x#rym$#Q_Wgn>hpyG6{$oFce>45Xfg>0Md*C`4ba2%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$p?fj>REs?5WvW=6395ggy4g8sld1lj0{ph zwhIzp5y(zK;%fleEl7NQAbSB4-wep!gT%LGV5n!ffg}Jj{09=>2gsITgb6S*gaDZ? zNca=i*t2=oB?9!UHtKz;!de-4m8 z1Bt&G$iINZUjpQxLE^6i@~WVmsN*P@%ZEIdlk}99^^y779vR3X0Ih!NEbfjvX8_ zR1iTj)ImW(oE$qi6tw?>a|d4{#TN*CKQDpfqTnXDDk$rr_?5G?En@J-3(jlC_k$GL zcH~Hs500d5rprTr1xu^Zu6IS{kl9vuqueMT2iJS6=1p(*ER27Tl3T<_=HD7wnp2eI z(N%I0eMnCJy&$inBXR}(Lf#VT$%KY&^o$&$3u-6sW2rl* diff --git a/tests/isa/generated/rv32ui-p-bne.bin b/tests/isa/generated/rv32ui-p-bne.bin index 9f4168b2476ced439dd80ea140be5fbb0fdddf97..6c7afc5774b857788fb57cd03a374dbeeaeef951 100755 GIT binary patch delta 37 rcmeBR?_g&s4qy=2$Z?Q~U(A4^_yU7KJ_7@gW)ztGfN90#4@^7&w8sjI delta 46 rcmeBR?_lQ;=4D`*48$8*4l+qd7=YQryaEjQ3?Oj<1~7Z_L#E{bweSdP diff --git a/tests/isa/generated/rv32ui-p-bne.dump b/tests/isa/generated/rv32ui-p-bne.dump index fcd0dda..1b21b95 100644 --- a/tests/isa/generated/rv32ui-p-bne.dump +++ b/tests/isa/generated/rv32ui-p-bne.dump @@ -5,239 +5,236 @@ generated/rv32ui-p-bne: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 00100113 li sp,1 - 14: 00209663 bne ra,sp,20 - 18: 2a301a63 bne zero,gp,2cc - 1c: 00301663 bne zero,gp,28 - 20: fe209ee3 bne ra,sp,1c - 24: 2a301463 bne zero,gp,2cc +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 00100113 li sp,1 + 10: 00209663 bne ra,sp,1c + 14: 2a301a63 bne zero,gp,2c8 + 18: 00301663 bne zero,gp,24 + 1c: fe209ee3 bne ra,sp,18 + 20: 2a301463 bne zero,gp,2c8 -00000028 : - 28: 00300193 li gp,3 - 2c: 00100093 li ra,1 - 30: 00000113 li sp,0 - 34: 00209663 bne ra,sp,40 - 38: 28301a63 bne zero,gp,2cc - 3c: 00301663 bne zero,gp,48 - 40: fe209ee3 bne ra,sp,3c - 44: 28301463 bne zero,gp,2cc +00000024 : + 24: 00300193 li gp,3 + 28: 00100093 li ra,1 + 2c: 00000113 li sp,0 + 30: 00209663 bne ra,sp,3c + 34: 28301a63 bne zero,gp,2c8 + 38: 00301663 bne zero,gp,44 + 3c: fe209ee3 bne ra,sp,38 + 40: 28301463 bne zero,gp,2c8 -00000048 : - 48: 00400193 li gp,4 - 4c: fff00093 li ra,-1 - 50: 00100113 li sp,1 - 54: 00209663 bne ra,sp,60 - 58: 26301a63 bne zero,gp,2cc - 5c: 00301663 bne zero,gp,68 - 60: fe209ee3 bne ra,sp,5c - 64: 26301463 bne zero,gp,2cc +00000044 : + 44: 00400193 li gp,4 + 48: fff00093 li ra,-1 + 4c: 00100113 li sp,1 + 50: 00209663 bne ra,sp,5c + 54: 26301a63 bne zero,gp,2c8 + 58: 00301663 bne zero,gp,64 + 5c: fe209ee3 bne ra,sp,58 + 60: 26301463 bne zero,gp,2c8 -00000068 : - 68: 00500193 li gp,5 - 6c: 00100093 li ra,1 - 70: fff00113 li sp,-1 - 74: 00209663 bne ra,sp,80 - 78: 24301a63 bne zero,gp,2cc - 7c: 00301663 bne zero,gp,88 - 80: fe209ee3 bne ra,sp,7c - 84: 24301463 bne zero,gp,2cc +00000064 : + 64: 00500193 li gp,5 + 68: 00100093 li ra,1 + 6c: fff00113 li sp,-1 + 70: 00209663 bne ra,sp,7c + 74: 24301a63 bne zero,gp,2c8 + 78: 00301663 bne zero,gp,84 + 7c: fe209ee3 bne ra,sp,78 + 80: 24301463 bne zero,gp,2c8 -00000088 : - 88: 00600193 li gp,6 - 8c: 00000093 li ra,0 - 90: 00000113 li sp,0 - 94: 00209463 bne ra,sp,9c - 98: 00301463 bne zero,gp,a0 - 9c: 22301863 bne zero,gp,2cc - a0: fe209ee3 bne ra,sp,9c +00000084 : + 84: 00600193 li gp,6 + 88: 00000093 li ra,0 + 8c: 00000113 li sp,0 + 90: 00209463 bne ra,sp,98 + 94: 00301463 bne zero,gp,9c + 98: 22301863 bne zero,gp,2c8 + 9c: fe209ee3 bne ra,sp,98 -000000a4 : - a4: 00700193 li gp,7 - a8: 00100093 li ra,1 - ac: 00100113 li sp,1 - b0: 00209463 bne ra,sp,b8 - b4: 00301463 bne zero,gp,bc - b8: 20301a63 bne zero,gp,2cc - bc: fe209ee3 bne ra,sp,b8 +000000a0 : + a0: 00700193 li gp,7 + a4: 00100093 li ra,1 + a8: 00100113 li sp,1 + ac: 00209463 bne ra,sp,b4 + b0: 00301463 bne zero,gp,b8 + b4: 20301a63 bne zero,gp,2c8 + b8: fe209ee3 bne ra,sp,b4 -000000c0 : - c0: 00800193 li gp,8 - c4: fff00093 li ra,-1 - c8: fff00113 li sp,-1 - cc: 00209463 bne ra,sp,d4 - d0: 00301463 bne zero,gp,d8 - d4: 1e301c63 bne zero,gp,2cc - d8: fe209ee3 bne ra,sp,d4 +000000bc : + bc: 00800193 li gp,8 + c0: fff00093 li ra,-1 + c4: fff00113 li sp,-1 + c8: 00209463 bne ra,sp,d0 + cc: 00301463 bne zero,gp,d4 + d0: 1e301c63 bne zero,gp,2c8 + d4: fe209ee3 bne ra,sp,d0 -000000dc : - dc: 00900193 li gp,9 - e0: 00000213 li tp,0 - e4: 00000093 li ra,0 - e8: 00000113 li sp,0 - ec: 1e209063 bne ra,sp,2cc - f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - f4: 00200293 li t0,2 - f8: fe5216e3 bne tp,t0,e4 +000000d8 : + d8: 00900193 li gp,9 + dc: 00000213 li tp,0 + e0: 00000093 li ra,0 + e4: 00000113 li sp,0 + e8: 1e209063 bne ra,sp,2c8 + ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> + f0: 00200293 li t0,2 + f4: fe5216e3 bne tp,t0,e0 -000000fc : - fc: 00a00193 li gp,10 - 100: 00000213 li tp,0 - 104: 00000093 li ra,0 - 108: 00000113 li sp,0 - 10c: 00000013 nop - 110: 1a209e63 bne ra,sp,2cc - 114: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 118: 00200293 li t0,2 - 11c: fe5214e3 bne tp,t0,104 +000000f8 : + f8: 00a00193 li gp,10 + fc: 00000213 li tp,0 + 100: 00000093 li ra,0 + 104: 00000113 li sp,0 + 108: 00000013 nop + 10c: 1a209e63 bne ra,sp,2c8 + 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 114: 00200293 li t0,2 + 118: fe5214e3 bne tp,t0,100 -00000120 : - 120: 00b00193 li gp,11 - 124: 00000213 li tp,0 - 128: 00000093 li ra,0 - 12c: 00000113 li sp,0 +0000011c : + 11c: 00b00193 li gp,11 + 120: 00000213 li tp,0 + 124: 00000093 li ra,0 + 128: 00000113 li sp,0 + 12c: 00000013 nop 130: 00000013 nop - 134: 00000013 nop - 138: 18209a63 bne ra,sp,2cc - 13c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 140: 00200293 li t0,2 - 144: fe5212e3 bne tp,t0,128 + 134: 18209a63 bne ra,sp,2c8 + 138: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 13c: 00200293 li t0,2 + 140: fe5212e3 bne tp,t0,124 -00000148 : - 148: 00c00193 li gp,12 - 14c: 00000213 li tp,0 - 150: 00000093 li ra,0 - 154: 00000013 nop - 158: 00000113 li sp,0 - 15c: 16209863 bne ra,sp,2cc - 160: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 164: 00200293 li t0,2 - 168: fe5214e3 bne tp,t0,150 +00000144 : + 144: 00c00193 li gp,12 + 148: 00000213 li tp,0 + 14c: 00000093 li ra,0 + 150: 00000013 nop + 154: 00000113 li sp,0 + 158: 16209863 bne ra,sp,2c8 + 15c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 160: 00200293 li t0,2 + 164: fe5214e3 bne tp,t0,14c -0000016c : - 16c: 00d00193 li gp,13 - 170: 00000213 li tp,0 - 174: 00000093 li ra,0 - 178: 00000013 nop - 17c: 00000113 li sp,0 - 180: 00000013 nop - 184: 14209463 bne ra,sp,2cc - 188: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 18c: 00200293 li t0,2 - 190: fe5212e3 bne tp,t0,174 +00000168 : + 168: 00d00193 li gp,13 + 16c: 00000213 li tp,0 + 170: 00000093 li ra,0 + 174: 00000013 nop + 178: 00000113 li sp,0 + 17c: 00000013 nop + 180: 14209463 bne ra,sp,2c8 + 184: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 188: 00200293 li t0,2 + 18c: fe5212e3 bne tp,t0,170 -00000194 : - 194: 00e00193 li gp,14 - 198: 00000213 li tp,0 - 19c: 00000093 li ra,0 +00000190 : + 190: 00e00193 li gp,14 + 194: 00000213 li tp,0 + 198: 00000093 li ra,0 + 19c: 00000013 nop 1a0: 00000013 nop - 1a4: 00000013 nop - 1a8: 00000113 li sp,0 - 1ac: 12209063 bne ra,sp,2cc - 1b0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1b4: 00200293 li t0,2 - 1b8: fe5212e3 bne tp,t0,19c + 1a4: 00000113 li sp,0 + 1a8: 12209063 bne ra,sp,2c8 + 1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1b0: 00200293 li t0,2 + 1b4: fe5212e3 bne tp,t0,198 -000001bc : - 1bc: 00f00193 li gp,15 - 1c0: 00000213 li tp,0 - 1c4: 00000093 li ra,0 - 1c8: 00000113 li sp,0 - 1cc: 10209063 bne ra,sp,2cc - 1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d4: 00200293 li t0,2 - 1d8: fe5216e3 bne tp,t0,1c4 +000001b8 : + 1b8: 00f00193 li gp,15 + 1bc: 00000213 li tp,0 + 1c0: 00000093 li ra,0 + 1c4: 00000113 li sp,0 + 1c8: 10209063 bne ra,sp,2c8 + 1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d0: 00200293 li t0,2 + 1d4: fe5216e3 bne tp,t0,1c0 -000001dc : - 1dc: 01000193 li gp,16 - 1e0: 00000213 li tp,0 - 1e4: 00000093 li ra,0 - 1e8: 00000113 li sp,0 - 1ec: 00000013 nop - 1f0: 0c209e63 bne ra,sp,2cc - 1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1f8: 00200293 li t0,2 - 1fc: fe5214e3 bne tp,t0,1e4 +000001d8 : + 1d8: 01000193 li gp,16 + 1dc: 00000213 li tp,0 + 1e0: 00000093 li ra,0 + 1e4: 00000113 li sp,0 + 1e8: 00000013 nop + 1ec: 0c209e63 bne ra,sp,2c8 + 1f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f4: 00200293 li t0,2 + 1f8: fe5214e3 bne tp,t0,1e0 -00000200 : - 200: 01100193 li gp,17 - 204: 00000213 li tp,0 - 208: 00000093 li ra,0 - 20c: 00000113 li sp,0 +000001fc : + 1fc: 01100193 li gp,17 + 200: 00000213 li tp,0 + 204: 00000093 li ra,0 + 208: 00000113 li sp,0 + 20c: 00000013 nop 210: 00000013 nop - 214: 00000013 nop - 218: 0a209a63 bne ra,sp,2cc - 21c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 220: 00200293 li t0,2 - 224: fe5212e3 bne tp,t0,208 + 214: 0a209a63 bne ra,sp,2c8 + 218: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 21c: 00200293 li t0,2 + 220: fe5212e3 bne tp,t0,204 -00000228 : - 228: 01200193 li gp,18 - 22c: 00000213 li tp,0 - 230: 00000093 li ra,0 - 234: 00000013 nop - 238: 00000113 li sp,0 - 23c: 08209863 bne ra,sp,2cc - 240: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 244: 00200293 li t0,2 - 248: fe5214e3 bne tp,t0,230 +00000224 : + 224: 01200193 li gp,18 + 228: 00000213 li tp,0 + 22c: 00000093 li ra,0 + 230: 00000013 nop + 234: 00000113 li sp,0 + 238: 08209863 bne ra,sp,2c8 + 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 240: 00200293 li t0,2 + 244: fe5214e3 bne tp,t0,22c -0000024c : - 24c: 01300193 li gp,19 - 250: 00000213 li tp,0 - 254: 00000093 li ra,0 - 258: 00000013 nop - 25c: 00000113 li sp,0 - 260: 00000013 nop - 264: 06209463 bne ra,sp,2cc - 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 26c: 00200293 li t0,2 - 270: fe5212e3 bne tp,t0,254 +00000248 : + 248: 01300193 li gp,19 + 24c: 00000213 li tp,0 + 250: 00000093 li ra,0 + 254: 00000013 nop + 258: 00000113 li sp,0 + 25c: 00000013 nop + 260: 06209463 bne ra,sp,2c8 + 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 268: 00200293 li t0,2 + 26c: fe5212e3 bne tp,t0,250 -00000274 : - 274: 01400193 li gp,20 - 278: 00000213 li tp,0 - 27c: 00000093 li ra,0 +00000270 : + 270: 01400193 li gp,20 + 274: 00000213 li tp,0 + 278: 00000093 li ra,0 + 27c: 00000013 nop 280: 00000013 nop - 284: 00000013 nop - 288: 00000113 li sp,0 - 28c: 04209063 bne ra,sp,2cc - 290: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 294: 00200293 li t0,2 - 298: fe5212e3 bne tp,t0,27c + 284: 00000113 li sp,0 + 288: 04209063 bne ra,sp,2c8 + 28c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 290: 00200293 li t0,2 + 294: fe5212e3 bne tp,t0,278 -0000029c : - 29c: 00100093 li ra,1 - 2a0: 00009a63 bnez ra,2b4 +00000298 : + 298: 00100093 li ra,1 + 29c: 00009a63 bnez ra,2b0 + 2a0: 00108093 addi ra,ra,1 2a4: 00108093 addi ra,ra,1 2a8: 00108093 addi ra,ra,1 2ac: 00108093 addi ra,ra,1 2b0: 00108093 addi ra,ra,1 2b4: 00108093 addi ra,ra,1 - 2b8: 00108093 addi ra,ra,1 - 2bc: 00300e93 li t4,3 - 2c0: 01500193 li gp,21 - 2c4: 01d09463 bne ra,t4,2cc - 2c8: 00301863 bne zero,gp,2d8 + 2b8: 00300e93 li t4,3 + 2bc: 01500193 li gp,21 + 2c0: 01d09463 bne ra,t4,2c8 + 2c4: 00301663 bne zero,gp,2d0 -000002cc : - 2cc: 00000d93 li s11,0 - 2d0: 00100d13 li s10,1 +000002c8 : + 2c8: 1000d073 csrwi sstatus,1 -000002d4 : - 2d4: 0000006f j 2d4 +000002cc : + 2cc: 0000006f j 2cc -000002d8 : - 2d8: 00100d93 li s11,1 - 2dc: 00100d13 li s10,1 +000002d0 : + 2d0: 1001d073 csrwi sstatus,3 -000002e0 : - 2e0: 0000006f j 2e0 +000002d4 : + 2d4: 0000006f j 2d4 ... Disassembly of section .tohost: @@ -251,14 +248,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-bne.mem b/tests/isa/generated/rv32ui-p-bne.mem index 96ceac6..ccfefa3 100644 --- a/tests/isa/generated/rv32ui-p-bne.mem +++ b/tests/isa/generated/rv32ui-p-bne.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 00100113 @@ -176,12 +175,10 @@ fe5212e3 00300e93 01500193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -224,3 +221,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bne.verilog b/tests/isa/generated/rv32ui-p-bne.verilog index c357fe3..0f1b233 100755 --- a/tests/isa/generated/rv32ui-p-bne.verilog +++ b/tests/isa/generated/rv32ui-p-bne.verilog @@ -1,51 +1,51 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 96 20 00 63 1A 30 2A 63 16 30 00 -E3 9E 20 FE 63 14 30 2A 93 01 30 00 93 00 10 00 -13 01 00 00 63 96 20 00 63 1A 30 28 63 16 30 00 -E3 9E 20 FE 63 14 30 28 93 01 40 00 93 00 F0 FF -13 01 10 00 63 96 20 00 63 1A 30 26 63 16 30 00 -E3 9E 20 FE 63 14 30 26 93 01 50 00 93 00 10 00 -13 01 F0 FF 63 96 20 00 63 1A 30 24 63 16 30 00 -E3 9E 20 FE 63 14 30 24 93 01 60 00 93 00 00 00 -13 01 00 00 63 94 20 00 63 14 30 00 63 18 30 22 -E3 9E 20 FE 93 01 70 00 93 00 10 00 13 01 10 00 -63 94 20 00 63 14 30 00 63 1A 30 20 E3 9E 20 FE -93 01 80 00 93 00 F0 FF 13 01 F0 FF 63 94 20 00 -63 14 30 00 63 1C 30 1E E3 9E 20 FE 93 01 90 00 -13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 1E -13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 A0 00 +73 50 00 10 93 01 20 00 93 00 00 00 13 01 10 00 +63 96 20 00 63 1A 30 2A 63 16 30 00 E3 9E 20 FE +63 14 30 2A 93 01 30 00 93 00 10 00 13 01 00 00 +63 96 20 00 63 1A 30 28 63 16 30 00 E3 9E 20 FE +63 14 30 28 93 01 40 00 93 00 F0 FF 13 01 10 00 +63 96 20 00 63 1A 30 26 63 16 30 00 E3 9E 20 FE +63 14 30 26 93 01 50 00 93 00 10 00 13 01 F0 FF +63 96 20 00 63 1A 30 24 63 16 30 00 E3 9E 20 FE +63 14 30 24 93 01 60 00 93 00 00 00 13 01 00 00 +63 94 20 00 63 14 30 00 63 18 30 22 E3 9E 20 FE +93 01 70 00 93 00 10 00 13 01 10 00 63 94 20 00 +63 14 30 00 63 1A 30 20 E3 9E 20 FE 93 01 80 00 +93 00 F0 FF 13 01 F0 FF 63 94 20 00 63 14 30 00 +63 1C 30 1E E3 9E 20 FE 93 01 90 00 13 02 00 00 +93 00 00 00 13 01 00 00 63 90 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 +93 00 00 00 13 01 00 00 13 00 00 00 63 9E 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 -63 9E 20 1A 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00 -13 00 00 00 13 00 00 00 63 9A 20 18 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 C0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 16 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00 -13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 -13 00 00 00 63 94 20 14 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 E0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 12 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 F0 00 -13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 10 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 00 01 +13 00 00 00 63 9A 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 00 00 63 98 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 00 00 13 00 00 00 +63 94 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 00 00 63 90 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 +93 00 00 00 13 01 00 00 63 90 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 +93 00 00 00 13 01 00 00 13 00 00 00 63 9E 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 -63 9E 20 0C 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00 -13 00 00 00 13 00 00 00 63 9A 20 0A 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 08 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 30 01 -13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 -13 00 00 00 63 94 20 06 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 40 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 04 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 00 10 00 -63 9A 00 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +13 00 00 00 63 9A 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 00 00 63 98 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 00 00 13 00 00 00 +63 94 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 00 00 63 90 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 9A 00 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 +63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000340 diff --git a/tests/isa/generated/rv32ui-p-fence_i b/tests/isa/generated/rv32ui-p-fence_i index 8f4fd92fad34857c4525ab145954abd7f58ebb17..658bfbb7be708f332934b701755740d172c17862 100755 GIT binary patch delta 196 zcmX@%e#U)*0%OlaMP;@(3=9l!7&dxsdDMJhl`s6GKPm$#)f>GreJ$ fd`zi~QEsxWvNGd}$%)G9j5j8C0!i1&8MGw(}8sJ)TjR@ zPY_U<>>w~zLc##7MwnNCA)f)HUVs72-q~O85?C9 z6&Orxl%IS=p+oTrP!Iwb8RUVC2T&dZ10#bbko{(Iu3{crT2@IwV*2EViqDxe7$=`n hDr1zI?5M2FxMXswvO43H$-T`;^_4qy4bRgY4RrUYG2^td}rV5A|Fce>45Xfg>0Md*C Q`5Qm-^G{;rnIzBw06KFLkN^Mx delta 74 zcmeBB>`;^u=4D`*48m+5*u+H{7??#}|4#?f%~PNLn>aybqQg`P2?MYSVO{}-d: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 - 8: 06f00693 li a3,111 - c: 00001517 auipc a0,0x1 - 10: ff451503 lh a0,-12(a0) # 1000 - 14: 00001597 auipc a1,0x1 - 18: fee59583 lh a1,-18(a1) # 1002 + 0: 10005073 csrwi sstatus,0 + 4: 06f00693 li a3,111 + 8: 00001517 auipc a0,0x1 + c: ff851503 lh a0,-8(a0) # 1000 + 10: 00001597 auipc a1,0x1 + 14: ff259583 lh a1,-14(a1) # 1002 + 18: 00000013 nop 1c: 00000013 nop 20: 00000013 nop 24: 00000013 nop @@ -62,21 +62,20 @@ Disassembly of section .text.init: c8: 30900e93 li t4,777 cc: 00300193 li gp,3 d0: 01d69463 bne a3,t4,d8 - d4: 00301863 bne zero,gp,e4 + d4: 00301663 bne zero,gp,e0 000000d8 : - d8: 00000d93 li s11,0 - dc: 00100d13 li s10,1 + d8: 1000d073 csrwi sstatus,1 -000000e0 : - e0: 0000006f j e0 +000000dc : + dc: 0000006f j dc -000000e4 : - e4: 00100d93 li s11,1 - e8: 00100d13 li s10,1 +000000e0 : + e0: 1001d073 csrwi sstatus,3 -000000ec : - ec: 0000006f j ec +000000e4 : + e4: 0000006f j e4 + e8: 0000 unimp ... Disassembly of section .data: @@ -96,14 +95,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa 8: 01007663 bgeu zero,a6,14 <_start+0x14> - c: 0000000f fence unknown,unknown + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-fence_i.mem b/tests/isa/generated/rv32ui-p-fence_i.mem index 1ae79af..e1898f9 100644 --- a/tests/isa/generated/rv32ui-p-fence_i.mem +++ b/tests/isa/generated/rv32ui-p-fence_i.mem @@ -1,10 +1,10 @@ -00000d13 -00000d93 +10005073 06f00693 00001517 -ff451503 +ff851503 00001597 -fee59583 +ff259583 +00000013 00000013 00000013 00000013 @@ -51,12 +51,10 @@ fe071ee3 30900e93 00300193 01d69463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1020,8 @@ fe071ee3 00000000 00000000 00000000 +00000000 +00000000 14d68693 00000000 00000000 diff --git a/tests/isa/generated/rv32ui-p-fence_i.verilog b/tests/isa/generated/rv32ui-p-fence_i.verilog index b97f6c6..6f65c62 100755 --- a/tests/isa/generated/rv32ui-p-fence_i.verilog +++ b/tests/isa/generated/rv32ui-p-fence_i.verilog @@ -1,6 +1,6 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 06 F0 06 17 15 00 00 -03 15 45 FF 97 15 00 00 83 95 E5 FE 13 00 00 00 +73 50 00 10 93 06 F0 06 17 15 00 00 03 15 85 FF +97 15 00 00 83 95 25 FF 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 97 02 00 00 23 9A A2 00 97 02 00 00 23 97 B2 00 @@ -12,12 +12,8 @@ E3 1E 07 FE 97 02 00 00 23 96 A2 04 97 02 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 93 86 B6 22 13 00 00 00 93 0E 90 30 93 01 30 00 -63 94 D6 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +63 94 D6 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 @00001000 93 86 D6 14 00 00 00 00 00 00 00 00 00 00 00 00 @00001040 diff --git a/tests/isa/generated/rv32ui-p-jal b/tests/isa/generated/rv32ui-p-jal index 908f7304e84f8a1e902c04a3046f33d35c9b0272..62c617b6252d969b14bb4611f935c2bef6aad78b 100755 GIT binary patch delta 246 zcmZ3Xu|{Kp0;9-A#rym$#Q_WglQ{$e1;h*(iZ3t-N$Xd5WvVF3}h%kc?=AU3^G8r2om2I$c{nc zs{`3ONPJr$I|GTY2V|!p@hyPt0wlf@(6PS-6Iq?iOuce9Ckoj!GD=NeD6Gt=GWn>m UI%CM>x5DbI7larX9Dt(20C=JzHUIzs diff --git a/tests/isa/generated/rv32ui-p-jal.bin b/tests/isa/generated/rv32ui-p-jal.bin index 490fd221fad85987a365e34c17c439b2e969d15a..5ea6ca3820f6326af15215ba55e8c0b1eae4c141 100755 GIT binary patch delta 34 ocmeBR>R@6i4qyR{p!=4D`*48#*z0wp92z)WFY0fu}Akgxy)m_4y@Islhy2TA|{ diff --git a/tests/isa/generated/rv32ui-p-jal.dump b/tests/isa/generated/rv32ui-p-jal.dump index ee5a750..c95c1c9 100644 --- a/tests/isa/generated/rv32ui-p-jal.dump +++ b/tests/isa/generated/rv32ui-p-jal.dump @@ -5,51 +5,48 @@ generated/rv32ui-p-jal: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000093 li ra,0 - 10: 0100026f jal tp,20 +00000004 : + 4: 00200193 li gp,2 + 8: 00000093 li ra,0 + c: 0100026f jal tp,1c -00000014 : +00000010 : + 10: 00000013 nop 14: 00000013 nop - 18: 00000013 nop - 1c: 0400006f j 5c + 18: 0400006f j 58 -00000020 : - 20: 00000117 auipc sp,0x0 - 24: ff410113 addi sp,sp,-12 # 14 - 28: 02411a63 bne sp,tp,5c +0000001c : + 1c: 00000117 auipc sp,0x0 + 20: ff410113 addi sp,sp,-12 # 10 + 24: 02411a63 bne sp,tp,58 -0000002c : - 2c: 00100093 li ra,1 - 30: 0140006f j 44 +00000028 : + 28: 00100093 li ra,1 + 2c: 0140006f j 40 + 30: 00108093 addi ra,ra,1 34: 00108093 addi ra,ra,1 38: 00108093 addi ra,ra,1 3c: 00108093 addi ra,ra,1 40: 00108093 addi ra,ra,1 44: 00108093 addi ra,ra,1 - 48: 00108093 addi ra,ra,1 - 4c: 00300e93 li t4,3 - 50: 00300193 li gp,3 - 54: 01d09463 bne ra,t4,5c - 58: 00301863 bne zero,gp,68 + 48: 00300e93 li t4,3 + 4c: 00300193 li gp,3 + 50: 01d09463 bne ra,t4,58 + 54: 00301663 bne zero,gp,60 -0000005c : - 5c: 00000d93 li s11,0 - 60: 00100d13 li s10,1 +00000058 : + 58: 1000d073 csrwi sstatus,1 -00000064 : - 64: 0000006f j 64 +0000005c : + 5c: 0000006f j 5c -00000068 : - 68: 00100d93 li s11,1 - 6c: 00100d13 li s10,1 +00000060 : + 60: 1001d073 csrwi sstatus,3 -00000070 : - 70: 0000006f j 70 +00000064 : + 64: 0000006f j 64 ... Disassembly of section .tohost: @@ -63,14 +60,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-jal.mem b/tests/isa/generated/rv32ui-p-jal.mem index 3c49879..03d0164 100644 --- a/tests/isa/generated/rv32ui-p-jal.mem +++ b/tests/isa/generated/rv32ui-p-jal.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000093 0100026f @@ -20,12 +19,10 @@ ff410113 00300e93 00300193 01d09463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -64,3 +61,6 @@ ff410113 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-jal.verilog b/tests/isa/generated/rv32ui-p-jal.verilog index 98d8cad..01495cd 100755 --- a/tests/isa/generated/rv32ui-p-jal.verilog +++ b/tests/isa/generated/rv32ui-p-jal.verilog @@ -1,12 +1,12 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -6F 02 00 01 13 00 00 00 13 00 00 00 6F 00 00 04 -17 01 00 00 13 01 41 FF 63 1A 41 02 93 00 10 00 -6F 00 40 01 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 30 00 63 94 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 01 20 00 93 00 00 00 6F 02 00 01 +13 00 00 00 13 00 00 00 6F 00 00 04 17 01 00 00 +13 01 41 FF 63 1A 41 02 93 00 10 00 6F 00 40 01 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 30 00 +63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @000000C0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-jalr b/tests/isa/generated/rv32ui-p-jalr index ca79a81b20fec79434c165ed08c76022ccbfec69..1a9f0eb6faddd65e508cd6b122071009c65a1db8 100755 GIT binary patch delta 301 zcmeyM`9*Vr0^^;Hiud_hiUSw~CUXc}6c96DD89fTkk7yXq!|VBCnheIbCd=0iZY9n z%NQ7iflSt-GGn7mqXGj44n{8SiHUNP4+vV+vjAlvfRO>DN(RPdU<9)zkoX=z_6;Py zI*_e_#Mc9|eUSL3Kz0cd-v-EDfW!}CU{GRsfF$4yWZyyJ`vTcdCeIYgW6Mc3sS5Vn o%qtwu$S5~CRYaL_#pF&Abw-ZK8%5M5W&&OA0N2L=bUFk80Kb4JF8}}l delta 301 zcmeyO`9X7n0^^O1iud_Bgn1blCWA1Gz(olO129{dSAZd(0VFQK0A^4AC@@1p5-3uX zS)5$Pz{n3|vKEyY8)X_57)(r*pL|5nqMidN2my=?!a#-sl*hop$RGn`gD?azGI#(P zcVJwgygHDrgT&VZvICI#ra*QD65j^MUV_9AVqj2Wc!4C~3}in+;`;*GA12Qg%45qg utH`R%-z+E`&d4Y=`JssLzWfh&TW$Yb@{p diff --git a/tests/isa/generated/rv32ui-p-jalr.bin b/tests/isa/generated/rv32ui-p-jalr.bin index d222d5acd3f0f4bf113f0511192d2105786323ff..df5d48e1786bf1f765e62b23e2e23830e8148fb3 100755 GIT binary patch delta 34 ocmeBR?qFsq4qyKAYlOpFni+1=>Vrb2uuI~ diff --git a/tests/isa/generated/rv32ui-p-jalr.dump b/tests/isa/generated/rv32ui-p-jalr.dump index 7834877..6b21744 100644 --- a/tests/isa/generated/rv32ui-p-jalr.dump +++ b/tests/isa/generated/rv32ui-p-jalr.dump @@ -5,89 +5,86 @@ generated/rv32ui-p-jalr: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00200193 li gp,2 - c: 00000293 li t0,0 - 10: 00000317 auipc t1,0x0 - 14: 01030313 addi t1,t1,16 # 20 - 18: 000302e7 jalr t0,t1 +00000004 : + 4: 00200193 li gp,2 + 8: 00000293 li t0,0 + c: 00000317 auipc t1,0x0 + 10: 01030313 addi t1,t1,16 # 1c + 14: 000302e7 jalr t0,t1 -0000001c : - 1c: 0c00006f j dc +00000018 : + 18: 0c00006f j d8 -00000020 : - 20: 00000317 auipc t1,0x0 - 24: ffc30313 addi t1,t1,-4 # 1c - 28: 0a629a63 bne t0,t1,dc +0000001c : + 1c: 00000317 auipc t1,0x0 + 20: ffc30313 addi t1,t1,-4 # 18 + 24: 0a629a63 bne t0,t1,d8 -0000002c : - 2c: 00400193 li gp,4 - 30: 00000213 li tp,0 - 34: 00000317 auipc t1,0x0 - 38: 01030313 addi t1,t1,16 # 44 - 3c: 000309e7 jalr s3,t1 - 40: 08301e63 bne zero,gp,dc - 44: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 48: 00200293 li t0,2 - 4c: fe5214e3 bne tp,t0,34 +00000028 : + 28: 00400193 li gp,4 + 2c: 00000213 li tp,0 + 30: 00000317 auipc t1,0x0 + 34: 01030313 addi t1,t1,16 # 40 + 38: 000309e7 jalr s3,t1 + 3c: 08301e63 bne zero,gp,d8 + 40: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 44: 00200293 li t0,2 + 48: fe5214e3 bne tp,t0,30 -00000050 : - 50: 00500193 li gp,5 - 54: 00000213 li tp,0 - 58: 00000317 auipc t1,0x0 - 5c: 01430313 addi t1,t1,20 # 6c - 60: 00000013 nop - 64: 000309e7 jalr s3,t1 - 68: 06301a63 bne zero,gp,dc - 6c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 70: 00200293 li t0,2 - 74: fe5212e3 bne tp,t0,58 +0000004c : + 4c: 00500193 li gp,5 + 50: 00000213 li tp,0 + 54: 00000317 auipc t1,0x0 + 58: 01430313 addi t1,t1,20 # 68 + 5c: 00000013 nop + 60: 000309e7 jalr s3,t1 + 64: 06301a63 bne zero,gp,d8 + 68: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 6c: 00200293 li t0,2 + 70: fe5212e3 bne tp,t0,54 -00000078 : - 78: 00600193 li gp,6 - 7c: 00000213 li tp,0 - 80: 00000317 auipc t1,0x0 - 84: 01830313 addi t1,t1,24 # 98 +00000074 : + 74: 00600193 li gp,6 + 78: 00000213 li tp,0 + 7c: 00000317 auipc t1,0x0 + 80: 01830313 addi t1,t1,24 # 94 + 84: 00000013 nop 88: 00000013 nop - 8c: 00000013 nop - 90: 000309e7 jalr s3,t1 - 94: 04301463 bne zero,gp,dc - 98: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 9c: 00200293 li t0,2 - a0: fe5210e3 bne tp,t0,80 + 8c: 000309e7 jalr s3,t1 + 90: 04301463 bne zero,gp,d8 + 94: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 98: 00200293 li t0,2 + 9c: fe5210e3 bne tp,t0,7c -000000a4 : - a4: 00100293 li t0,1 - a8: 00000317 auipc t1,0x0 - ac: 01c30313 addi t1,t1,28 # c4 - b0: ffc30067 jr -4(t1) +000000a0 : + a0: 00100293 li t0,1 + a4: 00000317 auipc t1,0x0 + a8: 01c30313 addi t1,t1,28 # c0 + ac: ffc30067 jr -4(t1) + b0: 00128293 addi t0,t0,1 b4: 00128293 addi t0,t0,1 b8: 00128293 addi t0,t0,1 bc: 00128293 addi t0,t0,1 c0: 00128293 addi t0,t0,1 c4: 00128293 addi t0,t0,1 - c8: 00128293 addi t0,t0,1 - cc: 00400e93 li t4,4 - d0: 00700193 li gp,7 - d4: 01d29463 bne t0,t4,dc - d8: 00301863 bne zero,gp,e8 + c8: 00400e93 li t4,4 + cc: 00700193 li gp,7 + d0: 01d29463 bne t0,t4,d8 + d4: 00301663 bne zero,gp,e0 -000000dc : - dc: 00000d93 li s11,0 - e0: 00100d13 li s10,1 +000000d8 : + d8: 1000d073 csrwi sstatus,1 -000000e4 : - e4: 0000006f j e4 +000000dc : + dc: 0000006f j dc -000000e8 : - e8: 00100d93 li s11,1 - ec: 00100d13 li s10,1 +000000e0 : + e0: 1001d073 csrwi sstatus,3 -000000f0 : - f0: 0000006f j f0 +000000e4 : + e4: 0000006f j e4 ... Disassembly of section .tohost: @@ -101,14 +98,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-jalr.mem b/tests/isa/generated/rv32ui-p-jalr.mem index 4bcbbeb..14bdd4a 100644 --- a/tests/isa/generated/rv32ui-p-jalr.mem +++ b/tests/isa/generated/rv32ui-p-jalr.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00200193 00000293 00000317 @@ -52,12 +51,10 @@ ffc30067 00400e93 00700193 01d29463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -96,3 +93,6 @@ ffc30067 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-jalr.verilog b/tests/isa/generated/rv32ui-p-jalr.verilog index 69c9617..f94f7b0 100755 --- a/tests/isa/generated/rv32ui-p-jalr.verilog +++ b/tests/isa/generated/rv32ui-p-jalr.verilog @@ -1,20 +1,20 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00 -17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C -17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00 -13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00 -63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01 -13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00 -17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00 -E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01 -67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00 -93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00 -93 01 70 00 63 94 D2 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 01 20 00 93 02 00 00 17 03 00 00 +13 03 03 01 E7 02 03 00 6F 00 00 0C 17 03 00 00 +13 03 C3 FF 63 9A 62 0A 93 01 40 00 13 02 00 00 +17 03 00 00 13 03 03 01 E7 09 03 00 63 1E 30 08 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 50 00 +13 02 00 00 17 03 00 00 13 03 43 01 13 00 00 00 +E7 09 03 00 63 1A 30 06 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 60 00 13 02 00 00 17 03 00 00 +13 03 83 01 13 00 00 00 13 00 00 00 E7 09 03 00 +63 14 30 04 13 02 12 00 93 02 20 00 E3 10 52 FE +93 02 10 00 17 03 00 00 13 03 C3 01 67 00 C3 FF +93 82 12 00 93 82 12 00 93 82 12 00 93 82 12 00 +93 82 12 00 93 82 12 00 93 0E 40 00 93 01 70 00 +63 94 D2 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lb b/tests/isa/generated/rv32ui-p-lb index 7d740e2a833db31fe58c827ddbc2f4042d1f32c3..1226f05ea74017529266125ab190f081e41c7f64 100755 GIT binary patch delta 635 zcmYk3K}Z`x6o%j1HKG-pStFXXCcB%MMo2A7Y&;cOdnkd31`(8^7+5cXLP7$bgqouu zM16u33aNN$p_kBt2QN8TdTe?vl;kW5q6mso`!+E!1H=5^|Ihzmn2*U%$xQ>(6|?T{ zDWpK`3IJNGl3NV=Uj0)`0_Y(-Xn`&2p?3PxNpIAW#pxNRDX_hDy_KF(mebsoT58<2 zx;dxoZ>MD^y;Ms)wb|Wty1w@0U*fR>=X4^1SR=OUJnBHkI_R3lW)AUn| z;u>9O5k;xfP~W5I>%VGI0S^Fp(BR3u(L%fbH`)Uh6lx%{oE2&)vX$a~@3yh-_p_1D z6zmm1r{~JAjKwmsoCRI@pl7QXpsJC;4(c}biIl<@nhU|p=g>U?An2^0OZg%);vf8@2f=jb+xS#=JoU{VxH8>3LhF#Bpmw0&r delta 751 zcmYk2&ubG=5XWcsVG$(lu8FX<*4<4^bEwJ^FZI@XkU|fQB6tZH*-I*@ZG~RD@#bk$ z=MY5?3SPV=coBLD{s6&?{sA^U^q^2s5k>Jw{Jv}g@4?&oe!esNX5M?W^l+)^bF~xn zCN{GfBEfFih;iIk3F7#dzOYZxv0fqd>7Pn$_{ecckv;O`KPvIHkNSCva=lO4m-?uU2FW^B5NydVyAr_svX_3yZoFxOXZMH|Eu zkO$T`&XiZmtKn9|lUM#CACyesEnKpY8!RRl(N6(iCJ)~^gJ+;C2A_hi%k{v!7#r>a z^gV+Yq1y&8L%%WjGW4FoSF~o?36Aqg`5Sm#mFc{<+2m0T(-!1&gYSd)41NsG85esB z4h`;tYX*0~RfD&|b%S5ydAIYmvC6dz=WeV$3ku(ukIIo^fuG3PVu^EdwODeqCy37D J&(RtU^dFZdnVJ9q diff --git a/tests/isa/generated/rv32ui-p-lb.bin b/tests/isa/generated/rv32ui-p-lb.bin index 05f497e3ed8b8b86e3966c8993cad205eca339d5..1f9d7664d8303a2b02cbafea2f7242ac282b3f20 100755 GIT binary patch delta 242 zcmeBB>`>$`4qyesM{Mf6V;?43qhQ0*nR>$pZJ4VUqSh$=}TV20+OV zK*<1xWQqIo5Xlb{^Tj0#elYhx_z%%p0MaQ7lbjEf{L0)9G!m?H0!XJM%-HkNObnkU zzENVlFqxlGn@QpAWE)03CWcp&a~O?)V)Gf*851TSXVhkFnEaVhor&rGWEmzYZKu1; zjZ6%}3=9myj0!+=ftb;NF`<&1=4D`*48+p~fOJE{f98H5&G+H|WJU#sWSRTQ5OIco%>4pDaiAQd0YkC? zOuXR_bH4&e9H`!bAz9?UB2@iv=6(Z^IY9LR49ODr>AscfoQD3`p_@AbG}Q383$Qp(e~Lz>p7=pA4kI?9GWx(|IQebN~Qm CWNOy{ diff --git a/tests/isa/generated/rv32ui-p-lb.dump b/tests/isa/generated/rv32ui-p-lb.dump index eceb8fe..bd5fb64 100644 --- a/tests/isa/generated/rv32ui-p-lb.dump +++ b/tests/isa/generated/rv32ui-p-lb.dump @@ -5,205 +5,202 @@ generated/rv32ui-p-lb: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 00008f03 lb t5,0(ra) - 14: fff00e93 li t4,-1 - 18: 00200193 li gp,2 - 1c: 23df1c63 bne t5,t4,254 +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 00008f03 lb t5,0(ra) + 10: fff00e93 li t4,-1 + 14: 00200193 li gp,2 + 18: 23df1c63 bne t5,t4,250 -00000020 : - 20: 00001097 auipc ra,0x1 - 24: fe008093 addi ra,ra,-32 # 1000 - 28: 00108f03 lb t5,1(ra) - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 23df1063 bne t5,t4,254 +0000001c : + 1c: 00001097 auipc ra,0x1 + 20: fe408093 addi ra,ra,-28 # 1000 + 24: 00108f03 lb t5,1(ra) + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 23df1063 bne t5,t4,250 -00000038 : - 38: 00001097 auipc ra,0x1 - 3c: fc808093 addi ra,ra,-56 # 1000 - 40: 00208f03 lb t5,2(ra) - 44: ff000e93 li t4,-16 - 48: 00400193 li gp,4 - 4c: 21df1463 bne t5,t4,254 +00000034 : + 34: 00001097 auipc ra,0x1 + 38: fcc08093 addi ra,ra,-52 # 1000 + 3c: 00208f03 lb t5,2(ra) + 40: ff000e93 li t4,-16 + 44: 00400193 li gp,4 + 48: 21df1463 bne t5,t4,250 -00000050 : - 50: 00001097 auipc ra,0x1 - 54: fb008093 addi ra,ra,-80 # 1000 - 58: 00308f03 lb t5,3(ra) - 5c: 00f00e93 li t4,15 - 60: 00500193 li gp,5 - 64: 1fdf1863 bne t5,t4,254 +0000004c : + 4c: 00001097 auipc ra,0x1 + 50: fb408093 addi ra,ra,-76 # 1000 + 54: 00308f03 lb t5,3(ra) + 58: 00f00e93 li t4,15 + 5c: 00500193 li gp,5 + 60: 1fdf1863 bne t5,t4,250 -00000068 : - 68: 00001097 auipc ra,0x1 - 6c: f9b08093 addi ra,ra,-101 # 1003 - 70: ffd08f03 lb t5,-3(ra) - 74: fff00e93 li t4,-1 - 78: 00600193 li gp,6 - 7c: 1ddf1c63 bne t5,t4,254 +00000064 : + 64: 00001097 auipc ra,0x1 + 68: f9f08093 addi ra,ra,-97 # 1003 + 6c: ffd08f03 lb t5,-3(ra) + 70: fff00e93 li t4,-1 + 74: 00600193 li gp,6 + 78: 1ddf1c63 bne t5,t4,250 -00000080 : - 80: 00001097 auipc ra,0x1 - 84: f8308093 addi ra,ra,-125 # 1003 - 88: ffe08f03 lb t5,-2(ra) - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 1ddf1063 bne t5,t4,254 +0000007c : + 7c: 00001097 auipc ra,0x1 + 80: f8708093 addi ra,ra,-121 # 1003 + 84: ffe08f03 lb t5,-2(ra) + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 1ddf1063 bne t5,t4,250 -00000098 : - 98: 00001097 auipc ra,0x1 - 9c: f6b08093 addi ra,ra,-149 # 1003 - a0: fff08f03 lb t5,-1(ra) - a4: ff000e93 li t4,-16 - a8: 00800193 li gp,8 - ac: 1bdf1463 bne t5,t4,254 +00000094 : + 94: 00001097 auipc ra,0x1 + 98: f6f08093 addi ra,ra,-145 # 1003 + 9c: fff08f03 lb t5,-1(ra) + a0: ff000e93 li t4,-16 + a4: 00800193 li gp,8 + a8: 1bdf1463 bne t5,t4,250 -000000b0 : - b0: 00001097 auipc ra,0x1 - b4: f5308093 addi ra,ra,-173 # 1003 - b8: 00008f03 lb t5,0(ra) - bc: 00f00e93 li t4,15 - c0: 00900193 li gp,9 - c4: 19df1863 bne t5,t4,254 +000000ac : + ac: 00001097 auipc ra,0x1 + b0: f5708093 addi ra,ra,-169 # 1003 + b4: 00008f03 lb t5,0(ra) + b8: 00f00e93 li t4,15 + bc: 00900193 li gp,9 + c0: 19df1863 bne t5,t4,250 -000000c8 : - c8: 00001097 auipc ra,0x1 - cc: f3808093 addi ra,ra,-200 # 1000 - d0: fe008093 addi ra,ra,-32 - d4: 02008283 lb t0,32(ra) - d8: fff00e93 li t4,-1 - dc: 00a00193 li gp,10 - e0: 17d29a63 bne t0,t4,254 +000000c4 : + c4: 00001097 auipc ra,0x1 + c8: f3c08093 addi ra,ra,-196 # 1000 + cc: fe008093 addi ra,ra,-32 + d0: 02008283 lb t0,32(ra) + d4: fff00e93 li t4,-1 + d8: 00a00193 li gp,10 + dc: 17d29a63 bne t0,t4,250 -000000e4 : - e4: 00001097 auipc ra,0x1 - e8: f1c08093 addi ra,ra,-228 # 1000 - ec: ffa08093 addi ra,ra,-6 - f0: 00708283 lb t0,7(ra) - f4: 00000e93 li t4,0 - f8: 00b00193 li gp,11 - fc: 15d29c63 bne t0,t4,254 +000000e0 : + e0: 00001097 auipc ra,0x1 + e4: f2008093 addi ra,ra,-224 # 1000 + e8: ffa08093 addi ra,ra,-6 + ec: 00708283 lb t0,7(ra) + f0: 00000e93 li t4,0 + f4: 00b00193 li gp,11 + f8: 15d29c63 bne t0,t4,250 -00000100 : - 100: 00c00193 li gp,12 - 104: 00000213 li tp,0 - 108: 00001097 auipc ra,0x1 - 10c: ef908093 addi ra,ra,-263 # 1001 - 110: 00108f03 lb t5,1(ra) - 114: 000f0313 mv t1,t5 - 118: ff000e93 li t4,-16 - 11c: 13d31c63 bne t1,t4,254 - 120: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 124: 00200293 li t0,2 - 128: fe5210e3 bne tp,t0,108 +000000fc : + fc: 00c00193 li gp,12 + 100: 00000213 li tp,0 + 104: 00001097 auipc ra,0x1 + 108: efd08093 addi ra,ra,-259 # 1001 + 10c: 00108f03 lb t5,1(ra) + 110: 000f0313 mv t1,t5 + 114: ff000e93 li t4,-16 + 118: 13d31c63 bne t1,t4,250 + 11c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 120: 00200293 li t0,2 + 124: fe5210e3 bne tp,t0,104 -0000012c : - 12c: 00d00193 li gp,13 - 130: 00000213 li tp,0 - 134: 00001097 auipc ra,0x1 - 138: ece08093 addi ra,ra,-306 # 1002 - 13c: 00108f03 lb t5,1(ra) - 140: 00000013 nop - 144: 000f0313 mv t1,t5 - 148: 00f00e93 li t4,15 - 14c: 11d31463 bne t1,t4,254 - 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 154: 00200293 li t0,2 - 158: fc521ee3 bne tp,t0,134 +00000128 : + 128: 00d00193 li gp,13 + 12c: 00000213 li tp,0 + 130: 00001097 auipc ra,0x1 + 134: ed208093 addi ra,ra,-302 # 1002 + 138: 00108f03 lb t5,1(ra) + 13c: 00000013 nop + 140: 000f0313 mv t1,t5 + 144: 00f00e93 li t4,15 + 148: 11d31463 bne t1,t4,250 + 14c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 150: 00200293 li t0,2 + 154: fc521ee3 bne tp,t0,130 -0000015c : - 15c: 00e00193 li gp,14 - 160: 00000213 li tp,0 - 164: 00001097 auipc ra,0x1 - 168: e9c08093 addi ra,ra,-356 # 1000 - 16c: 00108f03 lb t5,1(ra) +00000158 : + 158: 00e00193 li gp,14 + 15c: 00000213 li tp,0 + 160: 00001097 auipc ra,0x1 + 164: ea008093 addi ra,ra,-352 # 1000 + 168: 00108f03 lb t5,1(ra) + 16c: 00000013 nop 170: 00000013 nop - 174: 00000013 nop - 178: 000f0313 mv t1,t5 - 17c: 00000e93 li t4,0 - 180: 0dd31a63 bne t1,t4,254 - 184: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 188: 00200293 li t0,2 - 18c: fc521ce3 bne tp,t0,164 + 174: 000f0313 mv t1,t5 + 178: 00000e93 li t4,0 + 17c: 0dd31a63 bne t1,t4,250 + 180: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 184: 00200293 li t0,2 + 188: fc521ce3 bne tp,t0,160 -00000190 : - 190: 00f00193 li gp,15 - 194: 00000213 li tp,0 - 198: 00001097 auipc ra,0x1 - 19c: e6908093 addi ra,ra,-407 # 1001 - 1a0: 00108f03 lb t5,1(ra) - 1a4: ff000e93 li t4,-16 - 1a8: 0bdf1663 bne t5,t4,254 - 1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1b0: 00200293 li t0,2 - 1b4: fe5212e3 bne tp,t0,198 +0000018c : + 18c: 00f00193 li gp,15 + 190: 00000213 li tp,0 + 194: 00001097 auipc ra,0x1 + 198: e6d08093 addi ra,ra,-403 # 1001 + 19c: 00108f03 lb t5,1(ra) + 1a0: ff000e93 li t4,-16 + 1a4: 0bdf1663 bne t5,t4,250 + 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ac: 00200293 li t0,2 + 1b0: fe5212e3 bne tp,t0,194 -000001b8 : - 1b8: 01000193 li gp,16 - 1bc: 00000213 li tp,0 - 1c0: 00001097 auipc ra,0x1 - 1c4: e4208093 addi ra,ra,-446 # 1002 - 1c8: 00000013 nop - 1cc: 00108f03 lb t5,1(ra) - 1d0: 00f00e93 li t4,15 - 1d4: 09df1063 bne t5,t4,254 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5210e3 bne tp,t0,1c0 +000001b4 : + 1b4: 01000193 li gp,16 + 1b8: 00000213 li tp,0 + 1bc: 00001097 auipc ra,0x1 + 1c0: e4608093 addi ra,ra,-442 # 1002 + 1c4: 00000013 nop + 1c8: 00108f03 lb t5,1(ra) + 1cc: 00f00e93 li t4,15 + 1d0: 09df1063 bne t5,t4,250 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5210e3 bne tp,t0,1bc -000001e4 : - 1e4: 01100193 li gp,17 - 1e8: 00000213 li tp,0 - 1ec: 00001097 auipc ra,0x1 - 1f0: e1408093 addi ra,ra,-492 # 1000 +000001e0 : + 1e0: 01100193 li gp,17 + 1e4: 00000213 li tp,0 + 1e8: 00001097 auipc ra,0x1 + 1ec: e1808093 addi ra,ra,-488 # 1000 + 1f0: 00000013 nop 1f4: 00000013 nop - 1f8: 00000013 nop - 1fc: 00108f03 lb t5,1(ra) - 200: 00000e93 li t4,0 - 204: 05df1863 bne t5,t4,254 - 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 20c: 00200293 li t0,2 - 210: fc521ee3 bne tp,t0,1ec + 1f8: 00108f03 lb t5,1(ra) + 1fc: 00000e93 li t4,0 + 200: 05df1863 bne t5,t4,250 + 204: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 208: 00200293 li t0,2 + 20c: fc521ee3 bne tp,t0,1e8 -00000214 : - 214: 00001297 auipc t0,0x1 - 218: dec28293 addi t0,t0,-532 # 1000 - 21c: 00028103 lb sp,0(t0) - 220: 00200113 li sp,2 - 224: 00200e93 li t4,2 - 228: 01200193 li gp,18 - 22c: 03d11463 bne sp,t4,254 +00000210 : + 210: 00001297 auipc t0,0x1 + 214: df028293 addi t0,t0,-528 # 1000 + 218: 00028103 lb sp,0(t0) + 21c: 00200113 li sp,2 + 220: 00200e93 li t4,2 + 224: 01200193 li gp,18 + 228: 03d11463 bne sp,t4,250 -00000230 : - 230: 00001297 auipc t0,0x1 - 234: dd028293 addi t0,t0,-560 # 1000 - 238: 00028103 lb sp,0(t0) - 23c: 00000013 nop - 240: 00200113 li sp,2 - 244: 00200e93 li t4,2 - 248: 01300193 li gp,19 - 24c: 01d11463 bne sp,t4,254 - 250: 00301863 bne zero,gp,260 +0000022c : + 22c: 00001297 auipc t0,0x1 + 230: dd428293 addi t0,t0,-556 # 1000 + 234: 00028103 lb sp,0(t0) + 238: 00000013 nop + 23c: 00200113 li sp,2 + 240: 00200e93 li t4,2 + 244: 01300193 li gp,19 + 248: 01d11463 bne sp,t4,250 + 24c: 00301663 bne zero,gp,258 -00000254 : - 254: 00000d93 li s11,0 - 258: 00100d13 li s10,1 +00000250 : + 250: 1000d073 csrwi sstatus,1 -0000025c : - 25c: 0000006f j 25c +00000254 : + 254: 0000006f j 254 -00000260 : - 260: 00100d93 li s11,1 - 264: 00100d13 li s10,1 +00000258 : + 258: 1001d073 csrwi sstatus,3 -00000268 : - 268: 0000006f j 268 +0000025c : + 25c: 0000006f j 25c ... Disassembly of section .data: @@ -232,14 +229,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-lb.mem b/tests/isa/generated/rv32ui-p-lb.mem index 0647a7e..f13a99e 100644 --- a/tests/isa/generated/rv32ui-p-lb.mem +++ b/tests/isa/generated/rv32ui-p-lb.mem @@ -1,62 +1,61 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 00008f03 fff00e93 00200193 23df1c63 00001097 -fe008093 +fe408093 00108f03 00000e93 00300193 23df1063 00001097 -fc808093 +fcc08093 00208f03 ff000e93 00400193 21df1463 00001097 -fb008093 +fb408093 00308f03 00f00e93 00500193 1fdf1863 00001097 -f9b08093 +f9f08093 ffd08f03 fff00e93 00600193 1ddf1c63 00001097 -f8308093 +f8708093 ffe08f03 00000e93 00700193 1ddf1063 00001097 -f6b08093 +f6f08093 fff08f03 ff000e93 00800193 1bdf1463 00001097 -f5308093 +f5708093 00008f03 00f00e93 00900193 19df1863 00001097 -f3808093 +f3c08093 fe008093 02008283 fff00e93 00a00193 17d29a63 00001097 -f1c08093 +f2008093 ffa08093 00708283 00000e93 @@ -65,7 +64,7 @@ ffa08093 00c00193 00000213 00001097 -ef908093 +efd08093 00108f03 000f0313 ff000e93 @@ -76,7 +75,7 @@ fe5210e3 00d00193 00000213 00001097 -ece08093 +ed208093 00108f03 00000013 000f0313 @@ -88,7 +87,7 @@ fc521ee3 00e00193 00000213 00001097 -e9c08093 +ea008093 00108f03 00000013 00000013 @@ -101,7 +100,7 @@ fc521ce3 00f00193 00000213 00001097 -e6908093 +e6d08093 00108f03 ff000e93 0bdf1663 @@ -111,7 +110,7 @@ fe5212e3 01000193 00000213 00001097 -e4208093 +e4608093 00000013 00108f03 00f00e93 @@ -122,7 +121,7 @@ fe5210e3 01100193 00000213 00001097 -e1408093 +e1808093 00000013 00000013 00108f03 @@ -132,26 +131,24 @@ e1408093 00200293 fc521ee3 00001297 -dec28293 +df028293 00028103 00200113 00200e93 01200193 03d11463 00001297 -dd028293 +dd428293 00028103 00000013 00200113 00200e93 01300193 01d11463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ dd028293 00000000 00000000 00000000 +00000000 +00000000 +00000000 0ff000ff 00000000 00000000 diff --git a/tests/isa/generated/rv32ui-p-lb.verilog b/tests/isa/generated/rv32ui-p-lb.verilog index 2c0ca55..e4af818 100755 --- a/tests/isa/generated/rv32ui-p-lb.verilog +++ b/tests/isa/generated/rv32ui-p-lb.verilog @@ -1,43 +1,43 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 8F 00 00 93 0E F0 FF 93 01 20 00 63 1C DF 23 -97 10 00 00 93 80 00 FE 03 8F 10 00 93 0E 00 00 -93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC -03 8F 20 00 93 0E 00 FF 93 01 40 00 63 14 DF 21 -97 10 00 00 93 80 00 FB 03 8F 30 00 93 0E F0 00 -93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 -03 8F D0 FF 93 0E F0 FF 93 01 60 00 63 1C DF 1D -97 10 00 00 93 80 30 F8 03 8F E0 FF 93 0E 00 00 -93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 -03 8F F0 FF 93 0E 00 FF 93 01 80 00 63 14 DF 1B -97 10 00 00 93 80 30 F5 03 8F 00 00 93 0E F0 00 -93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 -93 80 00 FE 83 82 00 02 93 0E F0 FF 93 01 A0 00 -63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF -83 82 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF -03 8F 10 00 13 03 0F 00 93 0E 00 FF 63 1C D3 13 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 E0 EC 03 8F 10 00 -13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E9 03 8F 10 00 -13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 -63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 -03 8F 10 00 93 0E 00 FF 63 16 DF 0B 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 -97 10 00 00 93 80 20 E4 13 00 00 00 03 8F 10 00 -93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 E1 13 00 00 00 13 00 00 00 03 8F 10 00 -93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 81 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DD 03 81 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 03 8F 00 00 +93 0E F0 FF 93 01 20 00 63 1C DF 23 97 10 00 00 +93 80 40 FE 03 8F 10 00 93 0E 00 00 93 01 30 00 +63 10 DF 23 97 10 00 00 93 80 C0 FC 03 8F 20 00 +93 0E 00 FF 93 01 40 00 63 14 DF 21 97 10 00 00 +93 80 40 FB 03 8F 30 00 93 0E F0 00 93 01 50 00 +63 18 DF 1F 97 10 00 00 93 80 F0 F9 03 8F D0 FF +93 0E F0 FF 93 01 60 00 63 1C DF 1D 97 10 00 00 +93 80 70 F8 03 8F E0 FF 93 0E 00 00 93 01 70 00 +63 10 DF 1D 97 10 00 00 93 80 F0 F6 03 8F F0 FF +93 0E 00 FF 93 01 80 00 63 14 DF 1B 97 10 00 00 +93 80 70 F5 03 8F 00 00 93 0E F0 00 93 01 90 00 +63 18 DF 19 97 10 00 00 93 80 C0 F3 93 80 00 FE +83 82 00 02 93 0E F0 FF 93 01 A0 00 63 9A D2 17 +97 10 00 00 93 80 00 F2 93 80 A0 FF 83 82 70 00 +93 0E 00 00 93 01 B0 00 63 9C D2 15 93 01 C0 00 +13 02 00 00 97 10 00 00 93 80 D0 EF 03 8F 10 00 +13 03 0F 00 93 0E 00 FF 63 1C D3 13 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 D0 00 13 02 00 00 +97 10 00 00 93 80 20 ED 03 8F 10 00 13 00 00 00 +13 03 0F 00 93 0E F0 00 63 14 D3 11 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 E0 00 13 02 00 00 +97 10 00 00 93 80 00 EA 03 8F 10 00 13 00 00 00 +13 00 00 00 13 03 0F 00 93 0E 00 00 63 1A D3 0D +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 F0 00 +13 02 00 00 97 10 00 00 93 80 D0 E6 03 8F 10 00 +93 0E 00 FF 63 16 DF 0B 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 +93 80 60 E4 13 00 00 00 03 8F 10 00 93 0E F0 00 +63 10 DF 09 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 E1 +13 00 00 00 13 00 00 00 03 8F 10 00 93 0E 00 00 +63 18 DF 05 13 02 12 00 93 02 20 00 E3 1E 52 FC +97 12 00 00 93 82 02 DF 03 81 02 00 13 01 20 00 +93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00 +93 82 42 DD 03 81 02 00 13 00 00 00 13 01 20 00 +93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00001000 diff --git a/tests/isa/generated/rv32ui-p-lbu b/tests/isa/generated/rv32ui-p-lbu index 1248244b9578aba140968b11fd79ab3b17155030..267d3bb67b75000f7c5f452f4821cbc91abdaab2 100755 GIT binary patch delta 635 zcmYk3K}Z`x6o%j1t)(_-W*ad{YqGmZ(-fkzr1n;@=|O}Dh9J~K#lQ*@5E2Y{DwrGv z59%w3P>ABCgE(>A9Wumer~E^SkR)8eJ-wEo|9 zi*7g6Nvm%9M|F7WZFkM>20N1-@l1i=bS5I$Ag`8CjB|RU4J&X;3tE!Bsusr_9cl?I z(}fmSlqL;4d75~4qV6l;0{|ZyJefCIX!jkV-ETpu0iwWJsez(UtL*k{7%QO=8;kjp zy#(m?x)zsSCG*Lm1&{EtXT1`px-pIqsN2+28HF$0E`$J|1NQ`gptBy4_yy|;iHBIv zQQp+EA0;=%`jf=ttiMS-$@-VX?^(Abo@IRvbZmz4CH*yZoTgwzUtYz|9fWn3-zDB+ zZb`huETo5hWge8c&O9w~jd@DqpUfX5KHwky5y?Fqn_o!JXZJ$U3&b#Ku_%6_*RePT PXeJi#@;?U{W7l^BJOX-* delta 751 zcmYk2&ubGw6vyA&K@m!tU6aDrT6Z@w=1`R-Uh1vANTG)Y5%dr+vX|r_EiL5Qtv7F} z?+`@~3SPV=coBMuzd-P?`Ulwb(1U_tMHIy!^*h-FW?*){pYQkWyqS5p{9w85bFCK) zvYWXKkzjUgtZ{s(60DO4Sz(`|lY?UF(?6Bi@R4yykv;a~KPvIHkNO3Q@`GSh|63)Y z-Usznirj&h`rThDd3TuZU)TFrXVW13sggYey1|-mF!H-URC1twG>CPBnNj`s(Lqt) z)(xgpKbE)ljMe`tAMFCSWx|=W_CCwJbKdHIl7>@(ci$;p;CtYGgCBwO#>Jk1 zLxcO^y1_kg&EOqy!{FC=-kn1IXlwOatGV$sD1Ku;AycIyKbDK7GUw$+sqE%X6J5fe I<1s$ae<7xry#N3J diff --git a/tests/isa/generated/rv32ui-p-lbu.bin b/tests/isa/generated/rv32ui-p-lbu.bin index e3332d816a9386285d28b4caf25a182a99445d32..5e3b7a64879915d8c03ddae21e08688ab4621905 100755 GIT binary patch delta 242 zcmeBB>`>$`4qyesM{Mf6V6v7$)-p1sDw&k_GN7!zAs2lE0bH8vrFg z03`z$k|plTLnJ>;%omp|_`!Vs!GDO(0+3EwnB;t*}E)X*sFeZy!WK0$_U?{%8AdnA~E55)ekiWT*sh@Xp1Cz)kferuw CN=q~V delta 348 zcmeBB>`<&1=4D`*48+p~fOJE{f9CT*n(qVuWJU#sWSRTQ5OIco%;yDw;y^h@1BPS) zn0Uh<=JN_5aiDq!hGdcZics~xna>-5%mJzoU`Up@FAovl@RRxch5r!q6F}w%$U-Cx zelVYZ0F(e(Pyn(3D!$c2uA3RFJc8dQ1miPR?L70*cLMRA*F}e3((2(P8p?Ms@!~_m~edF$e>FJDCrN z85J0lMJ_T=7Xmt@iRms_j)4J5-T)-em@EPG9Wc~{c?B5qf%21qG?=|Pk!d>bB!Lb9 D%XVp( diff --git a/tests/isa/generated/rv32ui-p-lbu.dump b/tests/isa/generated/rv32ui-p-lbu.dump index bf9598e..7b74e48 100644 --- a/tests/isa/generated/rv32ui-p-lbu.dump +++ b/tests/isa/generated/rv32ui-p-lbu.dump @@ -5,205 +5,202 @@ generated/rv32ui-p-lbu: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 0000cf03 lbu t5,0(ra) - 14: 0ff00e93 li t4,255 - 18: 00200193 li gp,2 - 1c: 23df1c63 bne t5,t4,254 +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 0000cf03 lbu t5,0(ra) + 10: 0ff00e93 li t4,255 + 14: 00200193 li gp,2 + 18: 23df1c63 bne t5,t4,250 -00000020 : - 20: 00001097 auipc ra,0x1 - 24: fe008093 addi ra,ra,-32 # 1000 - 28: 0010cf03 lbu t5,1(ra) - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 23df1063 bne t5,t4,254 +0000001c : + 1c: 00001097 auipc ra,0x1 + 20: fe408093 addi ra,ra,-28 # 1000 + 24: 0010cf03 lbu t5,1(ra) + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 23df1063 bne t5,t4,250 -00000038 : - 38: 00001097 auipc ra,0x1 - 3c: fc808093 addi ra,ra,-56 # 1000 - 40: 0020cf03 lbu t5,2(ra) - 44: 0f000e93 li t4,240 - 48: 00400193 li gp,4 - 4c: 21df1463 bne t5,t4,254 +00000034 : + 34: 00001097 auipc ra,0x1 + 38: fcc08093 addi ra,ra,-52 # 1000 + 3c: 0020cf03 lbu t5,2(ra) + 40: 0f000e93 li t4,240 + 44: 00400193 li gp,4 + 48: 21df1463 bne t5,t4,250 -00000050 : - 50: 00001097 auipc ra,0x1 - 54: fb008093 addi ra,ra,-80 # 1000 - 58: 0030cf03 lbu t5,3(ra) - 5c: 00f00e93 li t4,15 - 60: 00500193 li gp,5 - 64: 1fdf1863 bne t5,t4,254 +0000004c : + 4c: 00001097 auipc ra,0x1 + 50: fb408093 addi ra,ra,-76 # 1000 + 54: 0030cf03 lbu t5,3(ra) + 58: 00f00e93 li t4,15 + 5c: 00500193 li gp,5 + 60: 1fdf1863 bne t5,t4,250 -00000068 : - 68: 00001097 auipc ra,0x1 - 6c: f9b08093 addi ra,ra,-101 # 1003 - 70: ffd0cf03 lbu t5,-3(ra) - 74: 0ff00e93 li t4,255 - 78: 00600193 li gp,6 - 7c: 1ddf1c63 bne t5,t4,254 +00000064 : + 64: 00001097 auipc ra,0x1 + 68: f9f08093 addi ra,ra,-97 # 1003 + 6c: ffd0cf03 lbu t5,-3(ra) + 70: 0ff00e93 li t4,255 + 74: 00600193 li gp,6 + 78: 1ddf1c63 bne t5,t4,250 -00000080 : - 80: 00001097 auipc ra,0x1 - 84: f8308093 addi ra,ra,-125 # 1003 - 88: ffe0cf03 lbu t5,-2(ra) - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 1ddf1063 bne t5,t4,254 +0000007c : + 7c: 00001097 auipc ra,0x1 + 80: f8708093 addi ra,ra,-121 # 1003 + 84: ffe0cf03 lbu t5,-2(ra) + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 1ddf1063 bne t5,t4,250 -00000098 : - 98: 00001097 auipc ra,0x1 - 9c: f6b08093 addi ra,ra,-149 # 1003 - a0: fff0cf03 lbu t5,-1(ra) - a4: 0f000e93 li t4,240 - a8: 00800193 li gp,8 - ac: 1bdf1463 bne t5,t4,254 +00000094 : + 94: 00001097 auipc ra,0x1 + 98: f6f08093 addi ra,ra,-145 # 1003 + 9c: fff0cf03 lbu t5,-1(ra) + a0: 0f000e93 li t4,240 + a4: 00800193 li gp,8 + a8: 1bdf1463 bne t5,t4,250 -000000b0 : - b0: 00001097 auipc ra,0x1 - b4: f5308093 addi ra,ra,-173 # 1003 - b8: 0000cf03 lbu t5,0(ra) - bc: 00f00e93 li t4,15 - c0: 00900193 li gp,9 - c4: 19df1863 bne t5,t4,254 +000000ac : + ac: 00001097 auipc ra,0x1 + b0: f5708093 addi ra,ra,-169 # 1003 + b4: 0000cf03 lbu t5,0(ra) + b8: 00f00e93 li t4,15 + bc: 00900193 li gp,9 + c0: 19df1863 bne t5,t4,250 -000000c8 : - c8: 00001097 auipc ra,0x1 - cc: f3808093 addi ra,ra,-200 # 1000 - d0: fe008093 addi ra,ra,-32 - d4: 0200c283 lbu t0,32(ra) - d8: 0ff00e93 li t4,255 - dc: 00a00193 li gp,10 - e0: 17d29a63 bne t0,t4,254 +000000c4 : + c4: 00001097 auipc ra,0x1 + c8: f3c08093 addi ra,ra,-196 # 1000 + cc: fe008093 addi ra,ra,-32 + d0: 0200c283 lbu t0,32(ra) + d4: 0ff00e93 li t4,255 + d8: 00a00193 li gp,10 + dc: 17d29a63 bne t0,t4,250 -000000e4 : - e4: 00001097 auipc ra,0x1 - e8: f1c08093 addi ra,ra,-228 # 1000 - ec: ffa08093 addi ra,ra,-6 - f0: 0070c283 lbu t0,7(ra) - f4: 00000e93 li t4,0 - f8: 00b00193 li gp,11 - fc: 15d29c63 bne t0,t4,254 +000000e0 : + e0: 00001097 auipc ra,0x1 + e4: f2008093 addi ra,ra,-224 # 1000 + e8: ffa08093 addi ra,ra,-6 + ec: 0070c283 lbu t0,7(ra) + f0: 00000e93 li t4,0 + f4: 00b00193 li gp,11 + f8: 15d29c63 bne t0,t4,250 -00000100 : - 100: 00c00193 li gp,12 - 104: 00000213 li tp,0 - 108: 00001097 auipc ra,0x1 - 10c: ef908093 addi ra,ra,-263 # 1001 - 110: 0010cf03 lbu t5,1(ra) - 114: 000f0313 mv t1,t5 - 118: 0f000e93 li t4,240 - 11c: 13d31c63 bne t1,t4,254 - 120: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 124: 00200293 li t0,2 - 128: fe5210e3 bne tp,t0,108 +000000fc : + fc: 00c00193 li gp,12 + 100: 00000213 li tp,0 + 104: 00001097 auipc ra,0x1 + 108: efd08093 addi ra,ra,-259 # 1001 + 10c: 0010cf03 lbu t5,1(ra) + 110: 000f0313 mv t1,t5 + 114: 0f000e93 li t4,240 + 118: 13d31c63 bne t1,t4,250 + 11c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 120: 00200293 li t0,2 + 124: fe5210e3 bne tp,t0,104 -0000012c : - 12c: 00d00193 li gp,13 - 130: 00000213 li tp,0 - 134: 00001097 auipc ra,0x1 - 138: ece08093 addi ra,ra,-306 # 1002 - 13c: 0010cf03 lbu t5,1(ra) - 140: 00000013 nop - 144: 000f0313 mv t1,t5 - 148: 00f00e93 li t4,15 - 14c: 11d31463 bne t1,t4,254 - 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 154: 00200293 li t0,2 - 158: fc521ee3 bne tp,t0,134 +00000128 : + 128: 00d00193 li gp,13 + 12c: 00000213 li tp,0 + 130: 00001097 auipc ra,0x1 + 134: ed208093 addi ra,ra,-302 # 1002 + 138: 0010cf03 lbu t5,1(ra) + 13c: 00000013 nop + 140: 000f0313 mv t1,t5 + 144: 00f00e93 li t4,15 + 148: 11d31463 bne t1,t4,250 + 14c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 150: 00200293 li t0,2 + 154: fc521ee3 bne tp,t0,130 -0000015c : - 15c: 00e00193 li gp,14 - 160: 00000213 li tp,0 - 164: 00001097 auipc ra,0x1 - 168: e9c08093 addi ra,ra,-356 # 1000 - 16c: 0010cf03 lbu t5,1(ra) +00000158 : + 158: 00e00193 li gp,14 + 15c: 00000213 li tp,0 + 160: 00001097 auipc ra,0x1 + 164: ea008093 addi ra,ra,-352 # 1000 + 168: 0010cf03 lbu t5,1(ra) + 16c: 00000013 nop 170: 00000013 nop - 174: 00000013 nop - 178: 000f0313 mv t1,t5 - 17c: 00000e93 li t4,0 - 180: 0dd31a63 bne t1,t4,254 - 184: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 188: 00200293 li t0,2 - 18c: fc521ce3 bne tp,t0,164 + 174: 000f0313 mv t1,t5 + 178: 00000e93 li t4,0 + 17c: 0dd31a63 bne t1,t4,250 + 180: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 184: 00200293 li t0,2 + 188: fc521ce3 bne tp,t0,160 -00000190 : - 190: 00f00193 li gp,15 - 194: 00000213 li tp,0 - 198: 00001097 auipc ra,0x1 - 19c: e6908093 addi ra,ra,-407 # 1001 - 1a0: 0010cf03 lbu t5,1(ra) - 1a4: 0f000e93 li t4,240 - 1a8: 0bdf1663 bne t5,t4,254 - 1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1b0: 00200293 li t0,2 - 1b4: fe5212e3 bne tp,t0,198 +0000018c : + 18c: 00f00193 li gp,15 + 190: 00000213 li tp,0 + 194: 00001097 auipc ra,0x1 + 198: e6d08093 addi ra,ra,-403 # 1001 + 19c: 0010cf03 lbu t5,1(ra) + 1a0: 0f000e93 li t4,240 + 1a4: 0bdf1663 bne t5,t4,250 + 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ac: 00200293 li t0,2 + 1b0: fe5212e3 bne tp,t0,194 -000001b8 : - 1b8: 01000193 li gp,16 - 1bc: 00000213 li tp,0 - 1c0: 00001097 auipc ra,0x1 - 1c4: e4208093 addi ra,ra,-446 # 1002 - 1c8: 00000013 nop - 1cc: 0010cf03 lbu t5,1(ra) - 1d0: 00f00e93 li t4,15 - 1d4: 09df1063 bne t5,t4,254 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5210e3 bne tp,t0,1c0 +000001b4 : + 1b4: 01000193 li gp,16 + 1b8: 00000213 li tp,0 + 1bc: 00001097 auipc ra,0x1 + 1c0: e4608093 addi ra,ra,-442 # 1002 + 1c4: 00000013 nop + 1c8: 0010cf03 lbu t5,1(ra) + 1cc: 00f00e93 li t4,15 + 1d0: 09df1063 bne t5,t4,250 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5210e3 bne tp,t0,1bc -000001e4 : - 1e4: 01100193 li gp,17 - 1e8: 00000213 li tp,0 - 1ec: 00001097 auipc ra,0x1 - 1f0: e1408093 addi ra,ra,-492 # 1000 +000001e0 : + 1e0: 01100193 li gp,17 + 1e4: 00000213 li tp,0 + 1e8: 00001097 auipc ra,0x1 + 1ec: e1808093 addi ra,ra,-488 # 1000 + 1f0: 00000013 nop 1f4: 00000013 nop - 1f8: 00000013 nop - 1fc: 0010cf03 lbu t5,1(ra) - 200: 00000e93 li t4,0 - 204: 05df1863 bne t5,t4,254 - 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 20c: 00200293 li t0,2 - 210: fc521ee3 bne tp,t0,1ec + 1f8: 0010cf03 lbu t5,1(ra) + 1fc: 00000e93 li t4,0 + 200: 05df1863 bne t5,t4,250 + 204: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 208: 00200293 li t0,2 + 20c: fc521ee3 bne tp,t0,1e8 -00000214 : - 214: 00001297 auipc t0,0x1 - 218: dec28293 addi t0,t0,-532 # 1000 - 21c: 0002c103 lbu sp,0(t0) - 220: 00200113 li sp,2 - 224: 00200e93 li t4,2 - 228: 01200193 li gp,18 - 22c: 03d11463 bne sp,t4,254 +00000210 : + 210: 00001297 auipc t0,0x1 + 214: df028293 addi t0,t0,-528 # 1000 + 218: 0002c103 lbu sp,0(t0) + 21c: 00200113 li sp,2 + 220: 00200e93 li t4,2 + 224: 01200193 li gp,18 + 228: 03d11463 bne sp,t4,250 -00000230 : - 230: 00001297 auipc t0,0x1 - 234: dd028293 addi t0,t0,-560 # 1000 - 238: 0002c103 lbu sp,0(t0) - 23c: 00000013 nop - 240: 00200113 li sp,2 - 244: 00200e93 li t4,2 - 248: 01300193 li gp,19 - 24c: 01d11463 bne sp,t4,254 - 250: 00301863 bne zero,gp,260 +0000022c : + 22c: 00001297 auipc t0,0x1 + 230: dd428293 addi t0,t0,-556 # 1000 + 234: 0002c103 lbu sp,0(t0) + 238: 00000013 nop + 23c: 00200113 li sp,2 + 240: 00200e93 li t4,2 + 244: 01300193 li gp,19 + 248: 01d11463 bne sp,t4,250 + 24c: 00301663 bne zero,gp,258 -00000254 : - 254: 00000d93 li s11,0 - 258: 00100d13 li s10,1 +00000250 : + 250: 1000d073 csrwi sstatus,1 -0000025c : - 25c: 0000006f j 25c +00000254 : + 254: 0000006f j 254 -00000260 : - 260: 00100d93 li s11,1 - 264: 00100d13 li s10,1 +00000258 : + 258: 1001d073 csrwi sstatus,3 -00000268 : - 268: 0000006f j 268 +0000025c : + 25c: 0000006f j 25c ... Disassembly of section .data: @@ -232,14 +229,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-lbu.mem b/tests/isa/generated/rv32ui-p-lbu.mem index 1578167..7f78068 100644 --- a/tests/isa/generated/rv32ui-p-lbu.mem +++ b/tests/isa/generated/rv32ui-p-lbu.mem @@ -1,62 +1,61 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 0000cf03 0ff00e93 00200193 23df1c63 00001097 -fe008093 +fe408093 0010cf03 00000e93 00300193 23df1063 00001097 -fc808093 +fcc08093 0020cf03 0f000e93 00400193 21df1463 00001097 -fb008093 +fb408093 0030cf03 00f00e93 00500193 1fdf1863 00001097 -f9b08093 +f9f08093 ffd0cf03 0ff00e93 00600193 1ddf1c63 00001097 -f8308093 +f8708093 ffe0cf03 00000e93 00700193 1ddf1063 00001097 -f6b08093 +f6f08093 fff0cf03 0f000e93 00800193 1bdf1463 00001097 -f5308093 +f5708093 0000cf03 00f00e93 00900193 19df1863 00001097 -f3808093 +f3c08093 fe008093 0200c283 0ff00e93 00a00193 17d29a63 00001097 -f1c08093 +f2008093 ffa08093 0070c283 00000e93 @@ -65,7 +64,7 @@ ffa08093 00c00193 00000213 00001097 -ef908093 +efd08093 0010cf03 000f0313 0f000e93 @@ -76,7 +75,7 @@ fe5210e3 00d00193 00000213 00001097 -ece08093 +ed208093 0010cf03 00000013 000f0313 @@ -88,7 +87,7 @@ fc521ee3 00e00193 00000213 00001097 -e9c08093 +ea008093 0010cf03 00000013 00000013 @@ -101,7 +100,7 @@ fc521ce3 00f00193 00000213 00001097 -e6908093 +e6d08093 0010cf03 0f000e93 0bdf1663 @@ -111,7 +110,7 @@ fe5212e3 01000193 00000213 00001097 -e4208093 +e4608093 00000013 0010cf03 00f00e93 @@ -122,7 +121,7 @@ fe5210e3 01100193 00000213 00001097 -e1408093 +e1808093 00000013 00000013 0010cf03 @@ -132,26 +131,24 @@ e1408093 00200293 fc521ee3 00001297 -dec28293 +df028293 0002c103 00200113 00200e93 01200193 03d11463 00001297 -dd028293 +dd428293 0002c103 00000013 00200113 00200e93 01300193 01d11463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ dd028293 00000000 00000000 00000000 +00000000 +00000000 +00000000 0ff000ff 00000000 00000000 diff --git a/tests/isa/generated/rv32ui-p-lbu.verilog b/tests/isa/generated/rv32ui-p-lbu.verilog index 4697c5b..df58e3c 100755 --- a/tests/isa/generated/rv32ui-p-lbu.verilog +++ b/tests/isa/generated/rv32ui-p-lbu.verilog @@ -1,43 +1,43 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 CF 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 23 -97 10 00 00 93 80 00 FE 03 CF 10 00 93 0E 00 00 -93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC -03 CF 20 00 93 0E 00 0F 93 01 40 00 63 14 DF 21 -97 10 00 00 93 80 00 FB 03 CF 30 00 93 0E F0 00 -93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 -03 CF D0 FF 93 0E F0 0F 93 01 60 00 63 1C DF 1D -97 10 00 00 93 80 30 F8 03 CF E0 FF 93 0E 00 00 -93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 -03 CF F0 FF 93 0E 00 0F 93 01 80 00 63 14 DF 1B -97 10 00 00 93 80 30 F5 03 CF 00 00 93 0E F0 00 -93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 -93 80 00 FE 83 C2 00 02 93 0E F0 0F 93 01 A0 00 -63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF -83 C2 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF -03 CF 10 00 13 03 0F 00 93 0E 00 0F 63 1C D3 13 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 E0 EC 03 CF 10 00 -13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E9 03 CF 10 00 -13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 -63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 -03 CF 10 00 93 0E 00 0F 63 16 DF 0B 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 -97 10 00 00 93 80 20 E4 13 00 00 00 03 CF 10 00 -93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 E1 13 00 00 00 13 00 00 00 03 CF 10 00 -93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 C1 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DD 03 C1 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 03 CF 00 00 +93 0E F0 0F 93 01 20 00 63 1C DF 23 97 10 00 00 +93 80 40 FE 03 CF 10 00 93 0E 00 00 93 01 30 00 +63 10 DF 23 97 10 00 00 93 80 C0 FC 03 CF 20 00 +93 0E 00 0F 93 01 40 00 63 14 DF 21 97 10 00 00 +93 80 40 FB 03 CF 30 00 93 0E F0 00 93 01 50 00 +63 18 DF 1F 97 10 00 00 93 80 F0 F9 03 CF D0 FF +93 0E F0 0F 93 01 60 00 63 1C DF 1D 97 10 00 00 +93 80 70 F8 03 CF E0 FF 93 0E 00 00 93 01 70 00 +63 10 DF 1D 97 10 00 00 93 80 F0 F6 03 CF F0 FF +93 0E 00 0F 93 01 80 00 63 14 DF 1B 97 10 00 00 +93 80 70 F5 03 CF 00 00 93 0E F0 00 93 01 90 00 +63 18 DF 19 97 10 00 00 93 80 C0 F3 93 80 00 FE +83 C2 00 02 93 0E F0 0F 93 01 A0 00 63 9A D2 17 +97 10 00 00 93 80 00 F2 93 80 A0 FF 83 C2 70 00 +93 0E 00 00 93 01 B0 00 63 9C D2 15 93 01 C0 00 +13 02 00 00 97 10 00 00 93 80 D0 EF 03 CF 10 00 +13 03 0F 00 93 0E 00 0F 63 1C D3 13 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 D0 00 13 02 00 00 +97 10 00 00 93 80 20 ED 03 CF 10 00 13 00 00 00 +13 03 0F 00 93 0E F0 00 63 14 D3 11 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 E0 00 13 02 00 00 +97 10 00 00 93 80 00 EA 03 CF 10 00 13 00 00 00 +13 00 00 00 13 03 0F 00 93 0E 00 00 63 1A D3 0D +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 F0 00 +13 02 00 00 97 10 00 00 93 80 D0 E6 03 CF 10 00 +93 0E 00 0F 63 16 DF 0B 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 +93 80 60 E4 13 00 00 00 03 CF 10 00 93 0E F0 00 +63 10 DF 09 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 E1 +13 00 00 00 13 00 00 00 03 CF 10 00 93 0E 00 00 +63 18 DF 05 13 02 12 00 93 02 20 00 E3 1E 52 FC +97 12 00 00 93 82 02 DF 03 C1 02 00 13 01 20 00 +93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00 +93 82 42 DD 03 C1 02 00 13 00 00 00 13 01 20 00 +93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00001000 diff --git a/tests/isa/generated/rv32ui-p-lh b/tests/isa/generated/rv32ui-p-lh index 735c5923661140ffff85db1795d72a38879b2492..71ed8f066c2b0a1c3f374645d7fd4a87f67240c7 100755 GIT binary patch delta 658 zcmYk3O=uHQ5P)ZPO|e#!w~e%EYO>cN6cD3h_q%G%0P;hJ&;(1gQvK{bF|NP`Jr&__{amfpUGjGV zggLN6ExlJ{oKZ!L%+^oUTHCdwyy}79B`kpzZH@Oz+JDs=fZcUnI_Lsn#oOaD=TOVr z;}884acq;WO)K!5vRVd9w6D!zj%;lb9XipbIT9RBE6OjL8xBuC_^DPE2m(M5y~A@y z6Rn%y&>AtJ*aWe`xndK=Mq_XP##`e}B*KBse9_tl^yc|Cw`Vf>Ou>Y!cx|Y<7bVA7 zz$-Ku)8`BPSiOc2Vz|{;K+u`*NIt=wm3)eMo$@h#xhzHJnU5r2VE!!m6Xx%duP}Ec zf5v>Be#CT~qjMe$G#1xizsG(FLY1*8`JtDR?s3d&O8$|3NpgpMSMmn?mgHa9UrGLz v|Jsh{2G*b7e*9wfQzY>cu}p`_9gLEeO5g{&n@VGd)>3KTXcFKdM}z+XNa}`j delta 735 zcmYk2&1(};6vgk&SaFkNrim~*wlkA7W+9~^wV=4rZlqeF6$Lkfky&LShPJedLZ`0k zrWo&{2+EA$LYJY7EGifz{sDpup-Q@UlZE&NW_pro;5~Tnp5M9mecf>W<@_^;Z`a-Y zNIOB)Zd_@mFJ_WNg1N0D`hKJZh^cN`*dgy!rx5$p(*g%Rb%jgh=|kU_3%XTp{nLU9 zHG5GcTRjq9Brn@>Nc4WsmgQ|`(1t&dPT=@7+ zt0yRfA4v+69rm)Hwe3;d@g%V~$Pp>|Qa{h0SsHXElho-x@`5_W0G|3*%h=p9!w^Lb4Wx?HuO1#Peb2ScoMoM_gwq> zrsB>*hYHU@zgKtx`m4gXpnocS2U?f!u8sEm$GRe8IeYyr4@;QpkY5yj0Y0Mq<2CrK z!tcO~3b(+%!VU0ag?GW53V%X(cXO4aGY_uL+<3U-<{vS)<<-O*pOoW;Jin3ig(7F= RZlP#qCWtO$|8NYSc>pg!mv#UE diff --git a/tests/isa/generated/rv32ui-p-lh.bin b/tests/isa/generated/rv32ui-p-lh.bin index b71a882c33b0e424f5b1f11667d8b1e7cee35e9c..aebf133bd84dd77683f34eecb94f7d9df41c500c 100755 GIT binary patch delta 251 zcmXwzze>YU7{$+b?}^|23+U6Te~1je%&K#pf6A=3Mb9-ZJm>d*??)a zANXUXsocQ3`595oeVPco@tiIx;d{EG1s61?l3!`!9A8`r@xnJE7|))i5%2-{wEyiy cA?fxL=}w4v0~I+IZ%9RtMnuP)i}qTjzrm$c82|tP delta 316 zcmYk2zfQw25Qp#VIcY>XR5FwV2{AAeo$;7`Q<`RR%aQa!_XW!W_(jq;L0|4S$M4ZMrX*t7oM3{iyZuUKgPTGVyw1gSx z=#QK7L|xV2(&n)0oTGUMgqNVlP3jNkU(#02H_Sm#nqAK~AJW#$`-V6Dpq=0S@6vAE zYRZ?GhmO#Io;J$6s(eyt%C!=8TYm0gMG9x4exq|d!ZW(VV@zm?hiIt58IzGXxOje* wrGS`ULm?L?sK+mIvBd)(#CmW5|9sYa)RS!Zl{kptnmut2>*c+;4IZQ72Q(IA&;S4c diff --git a/tests/isa/generated/rv32ui-p-lh.dump b/tests/isa/generated/rv32ui-p-lh.dump index 780fbf9..40cd57f 100644 --- a/tests/isa/generated/rv32ui-p-lh.dump +++ b/tests/isa/generated/rv32ui-p-lh.dump @@ -5,213 +5,211 @@ generated/rv32ui-p-lh: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 00009f03 lh t5,0(ra) - 14: 0ff00e93 li t4,255 - 18: 00200193 li gp,2 - 1c: 25df1c63 bne t5,t4,274 +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 00009f03 lh t5,0(ra) + 10: 0ff00e93 li t4,255 + 14: 00200193 li gp,2 + 18: 25df1c63 bne t5,t4,270 -00000020 : - 20: 00001097 auipc ra,0x1 - 24: fe008093 addi ra,ra,-32 # 1000 - 28: 00209f03 lh t5,2(ra) - 2c: f0000e93 li t4,-256 - 30: 00300193 li gp,3 - 34: 25df1063 bne t5,t4,274 +0000001c : + 1c: 00001097 auipc ra,0x1 + 20: fe408093 addi ra,ra,-28 # 1000 + 24: 00209f03 lh t5,2(ra) + 28: f0000e93 li t4,-256 + 2c: 00300193 li gp,3 + 30: 25df1063 bne t5,t4,270 -00000038 : - 38: 00001097 auipc ra,0x1 - 3c: fc808093 addi ra,ra,-56 # 1000 - 40: 00409f03 lh t5,4(ra) - 44: 00001eb7 lui t4,0x1 - 48: ff0e8e93 addi t4,t4,-16 # ff0 - 4c: 00400193 li gp,4 - 50: 23df1263 bne t5,t4,274 +00000034 : + 34: 00001097 auipc ra,0x1 + 38: fcc08093 addi ra,ra,-52 # 1000 + 3c: 00409f03 lh t5,4(ra) + 40: 00001eb7 lui t4,0x1 + 44: ff0e8e93 addi t4,t4,-16 # ff0 + 48: 00400193 li gp,4 + 4c: 23df1263 bne t5,t4,270 -00000054 : - 54: 00001097 auipc ra,0x1 - 58: fac08093 addi ra,ra,-84 # 1000 - 5c: 00609f03 lh t5,6(ra) - 60: fffffeb7 lui t4,0xfffff - 64: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> - 68: 00500193 li gp,5 - 6c: 21df1463 bne t5,t4,274 +00000050 : + 50: 00001097 auipc ra,0x1 + 54: fb008093 addi ra,ra,-80 # 1000 + 58: 00609f03 lh t5,6(ra) + 5c: fffffeb7 lui t4,0xfffff + 60: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> + 64: 00500193 li gp,5 + 68: 21df1463 bne t5,t4,270 -00000070 : - 70: 00001097 auipc ra,0x1 - 74: f9608093 addi ra,ra,-106 # 1006 - 78: ffa09f03 lh t5,-6(ra) - 7c: 0ff00e93 li t4,255 - 80: 00600193 li gp,6 - 84: 1fdf1863 bne t5,t4,274 +0000006c : + 6c: 00001097 auipc ra,0x1 + 70: f9a08093 addi ra,ra,-102 # 1006 + 74: ffa09f03 lh t5,-6(ra) + 78: 0ff00e93 li t4,255 + 7c: 00600193 li gp,6 + 80: 1fdf1863 bne t5,t4,270 -00000088 : - 88: 00001097 auipc ra,0x1 - 8c: f7e08093 addi ra,ra,-130 # 1006 - 90: ffc09f03 lh t5,-4(ra) - 94: f0000e93 li t4,-256 - 98: 00700193 li gp,7 - 9c: 1ddf1c63 bne t5,t4,274 +00000084 : + 84: 00001097 auipc ra,0x1 + 88: f8208093 addi ra,ra,-126 # 1006 + 8c: ffc09f03 lh t5,-4(ra) + 90: f0000e93 li t4,-256 + 94: 00700193 li gp,7 + 98: 1ddf1c63 bne t5,t4,270 -000000a0 : - a0: 00001097 auipc ra,0x1 - a4: f6608093 addi ra,ra,-154 # 1006 - a8: ffe09f03 lh t5,-2(ra) - ac: 00001eb7 lui t4,0x1 - b0: ff0e8e93 addi t4,t4,-16 # ff0 - b4: 00800193 li gp,8 - b8: 1bdf1e63 bne t5,t4,274 +0000009c : + 9c: 00001097 auipc ra,0x1 + a0: f6a08093 addi ra,ra,-150 # 1006 + a4: ffe09f03 lh t5,-2(ra) + a8: 00001eb7 lui t4,0x1 + ac: ff0e8e93 addi t4,t4,-16 # ff0 + b0: 00800193 li gp,8 + b4: 1bdf1e63 bne t5,t4,270 -000000bc : - bc: 00001097 auipc ra,0x1 - c0: f4a08093 addi ra,ra,-182 # 1006 - c4: 00009f03 lh t5,0(ra) - c8: fffffeb7 lui t4,0xfffff - cc: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> - d0: 00900193 li gp,9 - d4: 1bdf1063 bne t5,t4,274 +000000b8 : + b8: 00001097 auipc ra,0x1 + bc: f4e08093 addi ra,ra,-178 # 1006 + c0: 00009f03 lh t5,0(ra) + c4: fffffeb7 lui t4,0xfffff + c8: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> + cc: 00900193 li gp,9 + d0: 1bdf1063 bne t5,t4,270 -000000d8 : - d8: 00001097 auipc ra,0x1 - dc: f2808093 addi ra,ra,-216 # 1000 - e0: fe008093 addi ra,ra,-32 - e4: 02009283 lh t0,32(ra) - e8: 0ff00e93 li t4,255 - ec: 00a00193 li gp,10 - f0: 19d29263 bne t0,t4,274 +000000d4 : + d4: 00001097 auipc ra,0x1 + d8: f2c08093 addi ra,ra,-212 # 1000 + dc: fe008093 addi ra,ra,-32 + e0: 02009283 lh t0,32(ra) + e4: 0ff00e93 li t4,255 + e8: 00a00193 li gp,10 + ec: 19d29263 bne t0,t4,270 -000000f4 : - f4: 00001097 auipc ra,0x1 - f8: f0c08093 addi ra,ra,-244 # 1000 - fc: ffb08093 addi ra,ra,-5 - 100: 00709283 lh t0,7(ra) - 104: f0000e93 li t4,-256 - 108: 00b00193 li gp,11 - 10c: 17d29463 bne t0,t4,274 +000000f0 : + f0: 00001097 auipc ra,0x1 + f4: f1008093 addi ra,ra,-240 # 1000 + f8: ffb08093 addi ra,ra,-5 + fc: 00709283 lh t0,7(ra) + 100: f0000e93 li t4,-256 + 104: 00b00193 li gp,11 + 108: 17d29463 bne t0,t4,270 -00000110 : - 110: 00c00193 li gp,12 - 114: 00000213 li tp,0 - 118: 00001097 auipc ra,0x1 - 11c: eea08093 addi ra,ra,-278 # 1002 - 120: 00209f03 lh t5,2(ra) - 124: 000f0313 mv t1,t5 - 128: 00001eb7 lui t4,0x1 - 12c: ff0e8e93 addi t4,t4,-16 # ff0 - 130: 15d31263 bne t1,t4,274 - 134: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 138: 00200293 li t0,2 - 13c: fc521ee3 bne tp,t0,118 +0000010c : + 10c: 00c00193 li gp,12 + 110: 00000213 li tp,0 + 114: 00001097 auipc ra,0x1 + 118: eee08093 addi ra,ra,-274 # 1002 + 11c: 00209f03 lh t5,2(ra) + 120: 000f0313 mv t1,t5 + 124: 00001eb7 lui t4,0x1 + 128: ff0e8e93 addi t4,t4,-16 # ff0 + 12c: 15d31263 bne t1,t4,270 + 130: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 134: 00200293 li t0,2 + 138: fc521ee3 bne tp,t0,114 -00000140 : - 140: 00d00193 li gp,13 - 144: 00000213 li tp,0 - 148: 00001097 auipc ra,0x1 - 14c: ebc08093 addi ra,ra,-324 # 1004 - 150: 00209f03 lh t5,2(ra) - 154: 00000013 nop - 158: 000f0313 mv t1,t5 - 15c: fffffeb7 lui t4,0xfffff - 160: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> - 164: 11d31863 bne t1,t4,274 - 168: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 16c: 00200293 li t0,2 - 170: fc521ce3 bne tp,t0,148 +0000013c : + 13c: 00d00193 li gp,13 + 140: 00000213 li tp,0 + 144: 00001097 auipc ra,0x1 + 148: ec008093 addi ra,ra,-320 # 1004 + 14c: 00209f03 lh t5,2(ra) + 150: 00000013 nop + 154: 000f0313 mv t1,t5 + 158: fffffeb7 lui t4,0xfffff + 15c: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> + 160: 11d31863 bne t1,t4,270 + 164: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 168: 00200293 li t0,2 + 16c: fc521ce3 bne tp,t0,144 -00000174 : - 174: 00e00193 li gp,14 - 178: 00000213 li tp,0 - 17c: 00001097 auipc ra,0x1 - 180: e8408093 addi ra,ra,-380 # 1000 - 184: 00209f03 lh t5,2(ra) +00000170 : + 170: 00e00193 li gp,14 + 174: 00000213 li tp,0 + 178: 00001097 auipc ra,0x1 + 17c: e8808093 addi ra,ra,-376 # 1000 + 180: 00209f03 lh t5,2(ra) + 184: 00000013 nop 188: 00000013 nop - 18c: 00000013 nop - 190: 000f0313 mv t1,t5 - 194: f0000e93 li t4,-256 - 198: 0dd31e63 bne t1,t4,274 - 19c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a0: 00200293 li t0,2 - 1a4: fc521ce3 bne tp,t0,17c + 18c: 000f0313 mv t1,t5 + 190: f0000e93 li t4,-256 + 194: 0dd31e63 bne t1,t4,270 + 198: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 19c: 00200293 li t0,2 + 1a0: fc521ce3 bne tp,t0,178 -000001a8 : - 1a8: 00f00193 li gp,15 - 1ac: 00000213 li tp,0 - 1b0: 00001097 auipc ra,0x1 - 1b4: e5208093 addi ra,ra,-430 # 1002 - 1b8: 00209f03 lh t5,2(ra) - 1bc: 00001eb7 lui t4,0x1 - 1c0: ff0e8e93 addi t4,t4,-16 # ff0 - 1c4: 0bdf1863 bne t5,t4,274 - 1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1cc: 00200293 li t0,2 - 1d0: fe5210e3 bne tp,t0,1b0 +000001a4 : + 1a4: 00f00193 li gp,15 + 1a8: 00000213 li tp,0 + 1ac: 00001097 auipc ra,0x1 + 1b0: e5608093 addi ra,ra,-426 # 1002 + 1b4: 00209f03 lh t5,2(ra) + 1b8: 00001eb7 lui t4,0x1 + 1bc: ff0e8e93 addi t4,t4,-16 # ff0 + 1c0: 0bdf1863 bne t5,t4,270 + 1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c8: 00200293 li t0,2 + 1cc: fe5210e3 bne tp,t0,1ac -000001d4 : - 1d4: 01000193 li gp,16 - 1d8: 00000213 li tp,0 - 1dc: 00001097 auipc ra,0x1 - 1e0: e2808093 addi ra,ra,-472 # 1004 - 1e4: 00000013 nop - 1e8: 00209f03 lh t5,2(ra) - 1ec: fffffeb7 lui t4,0xfffff - 1f0: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> - 1f4: 09df1063 bne t5,t4,274 - 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1fc: 00200293 li t0,2 - 200: fc521ee3 bne tp,t0,1dc +000001d0 : + 1d0: 01000193 li gp,16 + 1d4: 00000213 li tp,0 + 1d8: 00001097 auipc ra,0x1 + 1dc: e2c08093 addi ra,ra,-468 # 1004 + 1e0: 00000013 nop + 1e4: 00209f03 lh t5,2(ra) + 1e8: fffffeb7 lui t4,0xfffff + 1ec: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87> + 1f0: 09df1063 bne t5,t4,270 + 1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f8: 00200293 li t0,2 + 1fc: fc521ee3 bne tp,t0,1d8 -00000204 : - 204: 01100193 li gp,17 - 208: 00000213 li tp,0 - 20c: 00001097 auipc ra,0x1 - 210: df408093 addi ra,ra,-524 # 1000 +00000200 : + 200: 01100193 li gp,17 + 204: 00000213 li tp,0 + 208: 00001097 auipc ra,0x1 + 20c: df808093 addi ra,ra,-520 # 1000 + 210: 00000013 nop 214: 00000013 nop - 218: 00000013 nop - 21c: 00209f03 lh t5,2(ra) - 220: f0000e93 li t4,-256 - 224: 05df1863 bne t5,t4,274 - 228: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 22c: 00200293 li t0,2 - 230: fc521ee3 bne tp,t0,20c + 218: 00209f03 lh t5,2(ra) + 21c: f0000e93 li t4,-256 + 220: 05df1863 bne t5,t4,270 + 224: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 228: 00200293 li t0,2 + 22c: fc521ee3 bne tp,t0,208 -00000234 : - 234: 00001297 auipc t0,0x1 - 238: dcc28293 addi t0,t0,-564 # 1000 - 23c: 00029103 lh sp,0(t0) - 240: 00200113 li sp,2 - 244: 00200e93 li t4,2 - 248: 01200193 li gp,18 - 24c: 03d11463 bne sp,t4,274 +00000230 : + 230: 00001297 auipc t0,0x1 + 234: dd028293 addi t0,t0,-560 # 1000 + 238: 00029103 lh sp,0(t0) + 23c: 00200113 li sp,2 + 240: 00200e93 li t4,2 + 244: 01200193 li gp,18 + 248: 03d11463 bne sp,t4,270 -00000250 : - 250: 00001297 auipc t0,0x1 - 254: db028293 addi t0,t0,-592 # 1000 - 258: 00029103 lh sp,0(t0) - 25c: 00000013 nop - 260: 00200113 li sp,2 - 264: 00200e93 li t4,2 - 268: 01300193 li gp,19 - 26c: 01d11463 bne sp,t4,274 - 270: 00301863 bne zero,gp,280 +0000024c : + 24c: 00001297 auipc t0,0x1 + 250: db428293 addi t0,t0,-588 # 1000 + 254: 00029103 lh sp,0(t0) + 258: 00000013 nop + 25c: 00200113 li sp,2 + 260: 00200e93 li t4,2 + 264: 01300193 li gp,19 + 268: 01d11463 bne sp,t4,270 + 26c: 00301663 bne zero,gp,278 -00000274 : - 274: 00000d93 li s11,0 - 278: 00100d13 li s10,1 +00000270 : + 270: 1000d073 csrwi sstatus,1 -0000027c : - 27c: 0000006f j 27c +00000274 : + 274: 0000006f j 274 -00000280 : - 280: 00100d93 li s11,1 - 284: 00100d13 li s10,1 +00000278 : + 278: 1001d073 csrwi sstatus,3 -00000288 : - 288: 0000006f j 288 +0000027c : + 27c: 0000006f j 27c + 280: 0000 unimp ... Disassembly of section .data: @@ -242,14 +240,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-lh.mem b/tests/isa/generated/rv32ui-p-lh.mem index b086ee9..b69a1f4 100644 --- a/tests/isa/generated/rv32ui-p-lh.mem +++ b/tests/isa/generated/rv32ui-p-lh.mem @@ -1,66 +1,65 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 00009f03 0ff00e93 00200193 25df1c63 00001097 -fe008093 +fe408093 00209f03 f0000e93 00300193 25df1063 00001097 -fc808093 +fcc08093 00409f03 00001eb7 ff0e8e93 00400193 23df1263 00001097 -fac08093 +fb008093 00609f03 fffffeb7 00fe8e93 00500193 21df1463 00001097 -f9608093 +f9a08093 ffa09f03 0ff00e93 00600193 1fdf1863 00001097 -f7e08093 +f8208093 ffc09f03 f0000e93 00700193 1ddf1c63 00001097 -f6608093 +f6a08093 ffe09f03 00001eb7 ff0e8e93 00800193 1bdf1e63 00001097 -f4a08093 +f4e08093 00009f03 fffffeb7 00fe8e93 00900193 1bdf1063 00001097 -f2808093 +f2c08093 fe008093 02009283 0ff00e93 00a00193 19d29263 00001097 -f0c08093 +f1008093 ffb08093 00709283 f0000e93 @@ -69,7 +68,7 @@ f0000e93 00c00193 00000213 00001097 -eea08093 +eee08093 00209f03 000f0313 00001eb7 @@ -81,7 +80,7 @@ fc521ee3 00d00193 00000213 00001097 -ebc08093 +ec008093 00209f03 00000013 000f0313 @@ -94,7 +93,7 @@ fc521ce3 00e00193 00000213 00001097 -e8408093 +e8808093 00209f03 00000013 00000013 @@ -107,7 +106,7 @@ fc521ce3 00f00193 00000213 00001097 -e5208093 +e5608093 00209f03 00001eb7 ff0e8e93 @@ -118,7 +117,7 @@ fe5210e3 01000193 00000213 00001097 -e2808093 +e2c08093 00000013 00209f03 fffffeb7 @@ -130,7 +129,7 @@ fc521ee3 01100193 00000213 00001097 -df408093 +df808093 00000013 00000013 00209f03 @@ -140,26 +139,24 @@ f0000e93 00200293 fc521ee3 00001297 -dcc28293 +dd028293 00029103 00200113 00200e93 01200193 03d11463 00001297 -db028293 +db428293 00029103 00000013 00200113 00200e93 01300193 01d11463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ db028293 00000000 00000000 00000000 +00000000 +00000000 +00000000 ff0000ff f00f0ff0 00000000 diff --git a/tests/isa/generated/rv32ui-p-lh.verilog b/tests/isa/generated/rv32ui-p-lh.verilog index 5e46ef2..3bb5634 100755 --- a/tests/isa/generated/rv32ui-p-lh.verilog +++ b/tests/isa/generated/rv32ui-p-lh.verilog @@ -1,48 +1,44 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 9F 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 25 -97 10 00 00 93 80 00 FE 03 9F 20 00 93 0E 00 F0 -93 01 30 00 63 10 DF 25 97 10 00 00 93 80 80 FC -03 9F 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00 -63 12 DF 23 97 10 00 00 93 80 C0 FA 03 9F 60 00 -B7 FE FF FF 93 8E FE 00 93 01 50 00 63 14 DF 21 -97 10 00 00 93 80 60 F9 03 9F A0 FF 93 0E F0 0F -93 01 60 00 63 18 DF 1F 97 10 00 00 93 80 E0 F7 -03 9F C0 FF 93 0E 00 F0 93 01 70 00 63 1C DF 1D -97 10 00 00 93 80 60 F6 03 9F E0 FF B7 1E 00 00 -93 8E 0E FF 93 01 80 00 63 1E DF 1B 97 10 00 00 -93 80 A0 F4 03 9F 00 00 B7 FE FF FF 93 8E FE 00 -93 01 90 00 63 10 DF 1B 97 10 00 00 93 80 80 F2 -93 80 00 FE 83 92 00 02 93 0E F0 0F 93 01 A0 00 -63 92 D2 19 97 10 00 00 93 80 C0 F0 93 80 B0 FF -83 92 70 00 93 0E 00 F0 93 01 B0 00 63 94 D2 17 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 A0 EE -03 9F 20 00 13 03 0F 00 B7 1E 00 00 93 8E 0E FF -63 12 D3 15 13 02 12 00 93 02 20 00 E3 1E 52 FC -93 01 D0 00 13 02 00 00 97 10 00 00 93 80 C0 EB -03 9F 20 00 13 00 00 00 13 03 0F 00 B7 FE FF FF -93 8E FE 00 63 18 D3 11 13 02 12 00 93 02 20 00 -E3 1C 52 FC 93 01 E0 00 13 02 00 00 97 10 00 00 -93 80 40 E8 03 9F 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 93 0E 00 F0 63 1E D3 0D 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 F0 00 13 02 00 00 -97 10 00 00 93 80 20 E5 03 9F 20 00 B7 1E 00 00 -93 8E 0E FF 63 18 DF 0B 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 -93 80 80 E2 13 00 00 00 03 9F 20 00 B7 FE FF FF -93 8E FE 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 DF 13 00 00 00 13 00 00 00 03 9F 20 00 -93 0E 00 F0 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DC 03 91 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DB 03 91 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 03 9F 00 00 +93 0E F0 0F 93 01 20 00 63 1C DF 25 97 10 00 00 +93 80 40 FE 03 9F 20 00 93 0E 00 F0 93 01 30 00 +63 10 DF 25 97 10 00 00 93 80 C0 FC 03 9F 40 00 +B7 1E 00 00 93 8E 0E FF 93 01 40 00 63 12 DF 23 +97 10 00 00 93 80 00 FB 03 9F 60 00 B7 FE FF FF +93 8E FE 00 93 01 50 00 63 14 DF 21 97 10 00 00 +93 80 A0 F9 03 9F A0 FF 93 0E F0 0F 93 01 60 00 +63 18 DF 1F 97 10 00 00 93 80 20 F8 03 9F C0 FF +93 0E 00 F0 93 01 70 00 63 1C DF 1D 97 10 00 00 +93 80 A0 F6 03 9F E0 FF B7 1E 00 00 93 8E 0E FF +93 01 80 00 63 1E DF 1B 97 10 00 00 93 80 E0 F4 +03 9F 00 00 B7 FE FF FF 93 8E FE 00 93 01 90 00 +63 10 DF 1B 97 10 00 00 93 80 C0 F2 93 80 00 FE +83 92 00 02 93 0E F0 0F 93 01 A0 00 63 92 D2 19 +97 10 00 00 93 80 00 F1 93 80 B0 FF 83 92 70 00 +93 0E 00 F0 93 01 B0 00 63 94 D2 17 93 01 C0 00 +13 02 00 00 97 10 00 00 93 80 E0 EE 03 9F 20 00 +13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 12 D3 15 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 00 EC 03 9F 20 00 +13 00 00 00 13 03 0F 00 B7 FE FF FF 93 8E FE 00 +63 18 D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E8 +03 9F 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +93 0E 00 F0 63 1E D3 0D 13 02 12 00 93 02 20 00 +E3 1C 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 +93 80 60 E5 03 9F 20 00 B7 1E 00 00 93 8E 0E FF +63 18 DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 00 01 13 02 00 00 97 10 00 00 93 80 C0 E2 +13 00 00 00 03 9F 20 00 B7 FE FF FF 93 8E FE 00 +63 10 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC +93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DF +13 00 00 00 13 00 00 00 03 9F 20 00 93 0E 00 F0 +63 18 DF 05 13 02 12 00 93 02 20 00 E3 1E 52 FC +97 12 00 00 93 82 02 DD 03 91 02 00 13 01 20 00 +93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00 +93 82 42 DB 03 91 02 00 13 00 00 00 13 01 20 00 +93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 @00001000 FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lhu b/tests/isa/generated/rv32ui-p-lhu index 9250ff8490ccfc120dbb617ca04992da17d4126e..cd44e516310d12a769b1a4b4bf2bc168a6ab62dc 100755 GIT binary patch delta 645 zcmYk4%}X0W6u{rxHPBW}W+T+ZG}&EanuE0siq_s%kP=X;LP2N|x9lk(CM4jgV5A-t z#IJX-g&rdGP;UkcIrOp=#DkaOtwk9B0+9-SKrucVr89?lzu%kp-Y_tqhbzNt7P?hi zhMG%bAT|X6m3<0{winK|E-k195ojfNN|*sJB2$fu@?Tv#P)l(2O0Z7ofY&aQcimGW z*6&{FvQnquX^7ATPe{9QdVHZvzy5z}0lcs@8)w!z?TB`C=|IHd&L1kn^@l^y8bd1J zeLRfbUQfD_)~pkHVmw8M-Wt8=)2i{DTlbAF<}>3NmMIzT!XGpmj%nI&nhr+=cntt>XtecK#d(752= zLWppDbZY_U0R7W2zq79?zQ^C9*6}V-d^Ixj fW;dQZLrjp7O5#`QOQkVFlc{u|trOrSXIpOo2snnC delta 683 zcmYk2!Alfz6vyA2Mewq_GtL&XPBFjHwiTpW+(QV0nh*=CL?;b{lJVj}3Jb4|btwv6 zeSroZHt6+DW4nEuJMR?UH$x2%3W^p%Ryq#Ae)G6Od~05 zE^v_9MLYC!e@|glQG>yT)(88EuZY;F8Ag!Lu5F2EW$02Citl1K!m58~*N2 p&rHX}_{5zXAN}5+%-wP>*UMWnn9FlUW^#GQzC?5r7u&1-zEdpJgx~-G diff --git a/tests/isa/generated/rv32ui-p-lhu.bin b/tests/isa/generated/rv32ui-p-lhu.bin index d1c30998eb0f7c9702b39f186ddfce474c550553..b1a507c3a2cdcfa078ef77d52f1b5c6f8f0ba85b 100755 GIT binary patch delta 256 zcmeBB>`>$`4qyesN2Of6Vt47`F21FKw3alllIF|C9MX@K0t;U`Q6YuLzNR@SXYof&U0Y z3mB4x?#n~u6uwQ|AjOz4@wBw8!>7p&4F8%hF)%@lSiq1xP!mnChIWj zF*;0+W;A9zFu9-6oH1eYaYk(*`!l0HW5Z-+CT+$;ll_^bnV4=(&S8=i5HnyXzQ7=m Y&%gkr83pn;FJy}6oxFibV3I%w01=*7TL1t6 delta 278 zcmeBB>`-(T=4D`*48+p~fOJE{f9Cr@n(qVuWJU#sWU>3|5OIco%=Z--w(~JEOzz|R zFqzSSAz9+SDn!muM^iuSt@)1 zS+M;d(2~A?43ilrfGm-QTJmXf1H-@OOAJg9BNi|u&$uKBv*f=z(}TB@H5m1PZU|>I zW^9<;&1lZ3F!?Z}Hjw?EQJ>LavOJSEW7A}BCTXKXH<>RoF$gm-FbD%ZGMNvE84Vbd hMJ_TXOBjGXEX*swkPnof45UHq%@deXcqa*T002&RRIdO4 diff --git a/tests/isa/generated/rv32ui-p-lhu.dump b/tests/isa/generated/rv32ui-p-lhu.dump index 92ea37f..13e7c51 100644 --- a/tests/isa/generated/rv32ui-p-lhu.dump +++ b/tests/isa/generated/rv32ui-p-lhu.dump @@ -5,218 +5,215 @@ generated/rv32ui-p-lhu: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 0000df03 lhu t5,0(ra) - 14: 0ff00e93 li t4,255 - 18: 00200193 li gp,2 - 1c: 27df1663 bne t5,t4,288 +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 0000df03 lhu t5,0(ra) + 10: 0ff00e93 li t4,255 + 14: 00200193 li gp,2 + 18: 27df1663 bne t5,t4,284 -00000020 : - 20: 00001097 auipc ra,0x1 - 24: fe008093 addi ra,ra,-32 # 1000 - 28: 0020df03 lhu t5,2(ra) - 2c: 00010eb7 lui t4,0x10 - 30: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> - 34: 00300193 li gp,3 - 38: 25df1863 bne t5,t4,288 +0000001c : + 1c: 00001097 auipc ra,0x1 + 20: fe408093 addi ra,ra,-28 # 1000 + 24: 0020df03 lhu t5,2(ra) + 28: 00010eb7 lui t4,0x10 + 2c: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> + 30: 00300193 li gp,3 + 34: 25df1863 bne t5,t4,284 -0000003c : - 3c: 00001097 auipc ra,0x1 - 40: fc408093 addi ra,ra,-60 # 1000 - 44: 0040df03 lhu t5,4(ra) - 48: 00001eb7 lui t4,0x1 - 4c: ff0e8e93 addi t4,t4,-16 # ff0 - 50: 00400193 li gp,4 - 54: 23df1a63 bne t5,t4,288 +00000038 : + 38: 00001097 auipc ra,0x1 + 3c: fc808093 addi ra,ra,-56 # 1000 + 40: 0040df03 lhu t5,4(ra) + 44: 00001eb7 lui t4,0x1 + 48: ff0e8e93 addi t4,t4,-16 # ff0 + 4c: 00400193 li gp,4 + 50: 23df1a63 bne t5,t4,284 -00000058 : - 58: 00001097 auipc ra,0x1 - 5c: fa808093 addi ra,ra,-88 # 1000 - 60: 0060df03 lhu t5,6(ra) - 64: 0000feb7 lui t4,0xf - 68: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> - 6c: 00500193 li gp,5 - 70: 21df1c63 bne t5,t4,288 +00000054 : + 54: 00001097 auipc ra,0x1 + 58: fac08093 addi ra,ra,-84 # 1000 + 5c: 0060df03 lhu t5,6(ra) + 60: 0000feb7 lui t4,0xf + 64: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> + 68: 00500193 li gp,5 + 6c: 21df1c63 bne t5,t4,284 -00000074 : - 74: 00001097 auipc ra,0x1 - 78: f9208093 addi ra,ra,-110 # 1006 - 7c: ffa0df03 lhu t5,-6(ra) - 80: 0ff00e93 li t4,255 - 84: 00600193 li gp,6 - 88: 21df1063 bne t5,t4,288 +00000070 : + 70: 00001097 auipc ra,0x1 + 74: f9608093 addi ra,ra,-106 # 1006 + 78: ffa0df03 lhu t5,-6(ra) + 7c: 0ff00e93 li t4,255 + 80: 00600193 li gp,6 + 84: 21df1063 bne t5,t4,284 -0000008c : - 8c: 00001097 auipc ra,0x1 - 90: f7a08093 addi ra,ra,-134 # 1006 - 94: ffc0df03 lhu t5,-4(ra) - 98: 00010eb7 lui t4,0x10 - 9c: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> - a0: 00700193 li gp,7 - a4: 1fdf1263 bne t5,t4,288 +00000088 : + 88: 00001097 auipc ra,0x1 + 8c: f7e08093 addi ra,ra,-130 # 1006 + 90: ffc0df03 lhu t5,-4(ra) + 94: 00010eb7 lui t4,0x10 + 98: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> + 9c: 00700193 li gp,7 + a0: 1fdf1263 bne t5,t4,284 -000000a8 : - a8: 00001097 auipc ra,0x1 - ac: f5e08093 addi ra,ra,-162 # 1006 - b0: ffe0df03 lhu t5,-2(ra) - b4: 00001eb7 lui t4,0x1 - b8: ff0e8e93 addi t4,t4,-16 # ff0 - bc: 00800193 li gp,8 - c0: 1ddf1463 bne t5,t4,288 +000000a4 : + a4: 00001097 auipc ra,0x1 + a8: f6208093 addi ra,ra,-158 # 1006 + ac: ffe0df03 lhu t5,-2(ra) + b0: 00001eb7 lui t4,0x1 + b4: ff0e8e93 addi t4,t4,-16 # ff0 + b8: 00800193 li gp,8 + bc: 1ddf1463 bne t5,t4,284 -000000c4 : - c4: 00001097 auipc ra,0x1 - c8: f4208093 addi ra,ra,-190 # 1006 - cc: 0000df03 lhu t5,0(ra) - d0: 0000feb7 lui t4,0xf - d4: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> - d8: 00900193 li gp,9 - dc: 1bdf1663 bne t5,t4,288 +000000c0 : + c0: 00001097 auipc ra,0x1 + c4: f4608093 addi ra,ra,-186 # 1006 + c8: 0000df03 lhu t5,0(ra) + cc: 0000feb7 lui t4,0xf + d0: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> + d4: 00900193 li gp,9 + d8: 1bdf1663 bne t5,t4,284 -000000e0 : - e0: 00001097 auipc ra,0x1 - e4: f2008093 addi ra,ra,-224 # 1000 - e8: fe008093 addi ra,ra,-32 - ec: 0200d283 lhu t0,32(ra) - f0: 0ff00e93 li t4,255 - f4: 00a00193 li gp,10 - f8: 19d29863 bne t0,t4,288 +000000dc : + dc: 00001097 auipc ra,0x1 + e0: f2408093 addi ra,ra,-220 # 1000 + e4: fe008093 addi ra,ra,-32 + e8: 0200d283 lhu t0,32(ra) + ec: 0ff00e93 li t4,255 + f0: 00a00193 li gp,10 + f4: 19d29863 bne t0,t4,284 -000000fc : - fc: 00001097 auipc ra,0x1 - 100: f0408093 addi ra,ra,-252 # 1000 - 104: ffb08093 addi ra,ra,-5 - 108: 0070d283 lhu t0,7(ra) - 10c: 00010eb7 lui t4,0x10 - 110: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> - 114: 00b00193 li gp,11 - 118: 17d29863 bne t0,t4,288 +000000f8 : + f8: 00001097 auipc ra,0x1 + fc: f0808093 addi ra,ra,-248 # 1000 + 100: ffb08093 addi ra,ra,-5 + 104: 0070d283 lhu t0,7(ra) + 108: 00010eb7 lui t4,0x10 + 10c: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> + 110: 00b00193 li gp,11 + 114: 17d29863 bne t0,t4,284 -0000011c : - 11c: 00c00193 li gp,12 - 120: 00000213 li tp,0 - 124: 00001097 auipc ra,0x1 - 128: ede08093 addi ra,ra,-290 # 1002 - 12c: 0020df03 lhu t5,2(ra) - 130: 000f0313 mv t1,t5 - 134: 00001eb7 lui t4,0x1 - 138: ff0e8e93 addi t4,t4,-16 # ff0 - 13c: 15d31663 bne t1,t4,288 - 140: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 144: 00200293 li t0,2 - 148: fc521ee3 bne tp,t0,124 +00000118 : + 118: 00c00193 li gp,12 + 11c: 00000213 li tp,0 + 120: 00001097 auipc ra,0x1 + 124: ee208093 addi ra,ra,-286 # 1002 + 128: 0020df03 lhu t5,2(ra) + 12c: 000f0313 mv t1,t5 + 130: 00001eb7 lui t4,0x1 + 134: ff0e8e93 addi t4,t4,-16 # ff0 + 138: 15d31663 bne t1,t4,284 + 13c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 140: 00200293 li t0,2 + 144: fc521ee3 bne tp,t0,120 -0000014c : - 14c: 00d00193 li gp,13 - 150: 00000213 li tp,0 - 154: 00001097 auipc ra,0x1 - 158: eb008093 addi ra,ra,-336 # 1004 - 15c: 0020df03 lhu t5,2(ra) - 160: 00000013 nop - 164: 000f0313 mv t1,t5 - 168: 0000feb7 lui t4,0xf - 16c: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> - 170: 11d31c63 bne t1,t4,288 - 174: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 178: 00200293 li t0,2 - 17c: fc521ce3 bne tp,t0,154 +00000148 : + 148: 00d00193 li gp,13 + 14c: 00000213 li tp,0 + 150: 00001097 auipc ra,0x1 + 154: eb408093 addi ra,ra,-332 # 1004 + 158: 0020df03 lhu t5,2(ra) + 15c: 00000013 nop + 160: 000f0313 mv t1,t5 + 164: 0000feb7 lui t4,0xf + 168: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> + 16c: 11d31c63 bne t1,t4,284 + 170: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 174: 00200293 li t0,2 + 178: fc521ce3 bne tp,t0,150 -00000180 : - 180: 00e00193 li gp,14 - 184: 00000213 li tp,0 - 188: 00001097 auipc ra,0x1 - 18c: e7808093 addi ra,ra,-392 # 1000 - 190: 0020df03 lhu t5,2(ra) +0000017c : + 17c: 00e00193 li gp,14 + 180: 00000213 li tp,0 + 184: 00001097 auipc ra,0x1 + 188: e7c08093 addi ra,ra,-388 # 1000 + 18c: 0020df03 lhu t5,2(ra) + 190: 00000013 nop 194: 00000013 nop - 198: 00000013 nop - 19c: 000f0313 mv t1,t5 - 1a0: 00010eb7 lui t4,0x10 - 1a4: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> - 1a8: 0fd31063 bne t1,t4,288 - 1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1b0: 00200293 li t0,2 - 1b4: fc521ae3 bne tp,t0,188 + 198: 000f0313 mv t1,t5 + 19c: 00010eb7 lui t4,0x10 + 1a0: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> + 1a4: 0fd31063 bne t1,t4,284 + 1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ac: 00200293 li t0,2 + 1b0: fc521ae3 bne tp,t0,184 -000001b8 : - 1b8: 00f00193 li gp,15 - 1bc: 00000213 li tp,0 - 1c0: 00001097 auipc ra,0x1 - 1c4: e4208093 addi ra,ra,-446 # 1002 - 1c8: 0020df03 lhu t5,2(ra) - 1cc: 00001eb7 lui t4,0x1 - 1d0: ff0e8e93 addi t4,t4,-16 # ff0 - 1d4: 0bdf1a63 bne t5,t4,288 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5210e3 bne tp,t0,1c0 +000001b4 : + 1b4: 00f00193 li gp,15 + 1b8: 00000213 li tp,0 + 1bc: 00001097 auipc ra,0x1 + 1c0: e4608093 addi ra,ra,-442 # 1002 + 1c4: 0020df03 lhu t5,2(ra) + 1c8: 00001eb7 lui t4,0x1 + 1cc: ff0e8e93 addi t4,t4,-16 # ff0 + 1d0: 0bdf1a63 bne t5,t4,284 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5210e3 bne tp,t0,1bc -000001e4 : - 1e4: 01000193 li gp,16 - 1e8: 00000213 li tp,0 - 1ec: 00001097 auipc ra,0x1 - 1f0: e1808093 addi ra,ra,-488 # 1004 - 1f4: 00000013 nop - 1f8: 0020df03 lhu t5,2(ra) - 1fc: 0000feb7 lui t4,0xf - 200: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> - 204: 09df1263 bne t5,t4,288 - 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 20c: 00200293 li t0,2 - 210: fc521ee3 bne tp,t0,1ec +000001e0 : + 1e0: 01000193 li gp,16 + 1e4: 00000213 li tp,0 + 1e8: 00001097 auipc ra,0x1 + 1ec: e1c08093 addi ra,ra,-484 # 1004 + 1f0: 00000013 nop + 1f4: 0020df03 lhu t5,2(ra) + 1f8: 0000feb7 lui t4,0xf + 1fc: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87> + 200: 09df1263 bne t5,t4,284 + 204: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 208: 00200293 li t0,2 + 20c: fc521ee3 bne tp,t0,1e8 -00000214 : - 214: 01100193 li gp,17 - 218: 00000213 li tp,0 - 21c: 00001097 auipc ra,0x1 - 220: de408093 addi ra,ra,-540 # 1000 +00000210 : + 210: 01100193 li gp,17 + 214: 00000213 li tp,0 + 218: 00001097 auipc ra,0x1 + 21c: de808093 addi ra,ra,-536 # 1000 + 220: 00000013 nop 224: 00000013 nop - 228: 00000013 nop - 22c: 0020df03 lhu t5,2(ra) - 230: 00010eb7 lui t4,0x10 - 234: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> - 238: 05df1863 bne t5,t4,288 - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fc521ce3 bne tp,t0,21c + 228: 0020df03 lhu t5,2(ra) + 22c: 00010eb7 lui t4,0x10 + 230: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78> + 234: 05df1863 bne t5,t4,284 + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fc521ce3 bne tp,t0,218 -00000248 : - 248: 00001297 auipc t0,0x1 - 24c: db828293 addi t0,t0,-584 # 1000 - 250: 0002d103 lhu sp,0(t0) - 254: 00200113 li sp,2 - 258: 00200e93 li t4,2 - 25c: 01200193 li gp,18 - 260: 03d11463 bne sp,t4,288 +00000244 : + 244: 00001297 auipc t0,0x1 + 248: dbc28293 addi t0,t0,-580 # 1000 + 24c: 0002d103 lhu sp,0(t0) + 250: 00200113 li sp,2 + 254: 00200e93 li t4,2 + 258: 01200193 li gp,18 + 25c: 03d11463 bne sp,t4,284 -00000264 : - 264: 00001297 auipc t0,0x1 - 268: d9c28293 addi t0,t0,-612 # 1000 - 26c: 0002d103 lhu sp,0(t0) - 270: 00000013 nop - 274: 00200113 li sp,2 - 278: 00200e93 li t4,2 - 27c: 01300193 li gp,19 - 280: 01d11463 bne sp,t4,288 - 284: 00301863 bne zero,gp,294 +00000260 : + 260: 00001297 auipc t0,0x1 + 264: da028293 addi t0,t0,-608 # 1000 + 268: 0002d103 lhu sp,0(t0) + 26c: 00000013 nop + 270: 00200113 li sp,2 + 274: 00200e93 li t4,2 + 278: 01300193 li gp,19 + 27c: 01d11463 bne sp,t4,284 + 280: 00301663 bne zero,gp,28c -00000288 : - 288: 00000d93 li s11,0 - 28c: 00100d13 li s10,1 +00000284 : + 284: 1000d073 csrwi sstatus,1 -00000290 : - 290: 0000006f j 290 +00000288 : + 288: 0000006f j 288 -00000294 : - 294: 00100d93 li s11,1 - 298: 00100d13 li s10,1 +0000028c : + 28c: 1001d073 csrwi sstatus,3 -0000029c : - 29c: 0000006f j 29c +00000290 : + 290: 0000006f j 290 ... Disassembly of section .data: @@ -247,14 +244,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-lhu.mem b/tests/isa/generated/rv32ui-p-lhu.mem index 9a67de9..1d6fe45 100644 --- a/tests/isa/generated/rv32ui-p-lhu.mem +++ b/tests/isa/generated/rv32ui-p-lhu.mem @@ -1,68 +1,67 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 0000df03 0ff00e93 00200193 27df1663 00001097 -fe008093 +fe408093 0020df03 00010eb7 f00e8e93 00300193 25df1863 00001097 -fc408093 +fc808093 0040df03 00001eb7 ff0e8e93 00400193 23df1a63 00001097 -fa808093 +fac08093 0060df03 0000feb7 00fe8e93 00500193 21df1c63 00001097 -f9208093 +f9608093 ffa0df03 0ff00e93 00600193 21df1063 00001097 -f7a08093 +f7e08093 ffc0df03 00010eb7 f00e8e93 00700193 1fdf1263 00001097 -f5e08093 +f6208093 ffe0df03 00001eb7 ff0e8e93 00800193 1ddf1463 00001097 -f4208093 +f4608093 0000df03 0000feb7 00fe8e93 00900193 1bdf1663 00001097 -f2008093 +f2408093 fe008093 0200d283 0ff00e93 00a00193 19d29863 00001097 -f0408093 +f0808093 ffb08093 0070d283 00010eb7 @@ -72,7 +71,7 @@ f00e8e93 00c00193 00000213 00001097 -ede08093 +ee208093 0020df03 000f0313 00001eb7 @@ -84,7 +83,7 @@ fc521ee3 00d00193 00000213 00001097 -eb008093 +eb408093 0020df03 00000013 000f0313 @@ -97,7 +96,7 @@ fc521ce3 00e00193 00000213 00001097 -e7808093 +e7c08093 0020df03 00000013 00000013 @@ -111,7 +110,7 @@ fc521ae3 00f00193 00000213 00001097 -e4208093 +e4608093 0020df03 00001eb7 ff0e8e93 @@ -122,7 +121,7 @@ fe5210e3 01000193 00000213 00001097 -e1808093 +e1c08093 00000013 0020df03 0000feb7 @@ -134,7 +133,7 @@ fc521ee3 01100193 00000213 00001097 -de408093 +de808093 00000013 00000013 0020df03 @@ -145,26 +144,24 @@ f00e8e93 00200293 fc521ce3 00001297 -db828293 +dbc28293 0002d103 00200113 00200e93 01200193 03d11463 00001297 -d9c28293 +da028293 0002d103 00000013 00200113 00200e93 01300193 01d11463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ d9c28293 00000000 00000000 00000000 +00000000 +00000000 +00000000 ff0000ff f00f0ff0 00000000 diff --git a/tests/isa/generated/rv32ui-p-lhu.verilog b/tests/isa/generated/rv32ui-p-lhu.verilog index b397ca0..44e6504 100755 --- a/tests/isa/generated/rv32ui-p-lhu.verilog +++ b/tests/isa/generated/rv32ui-p-lhu.verilog @@ -1,46 +1,46 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 DF 00 00 93 0E F0 0F 93 01 20 00 63 16 DF 27 -97 10 00 00 93 80 00 FE 03 DF 20 00 B7 0E 01 00 -93 8E 0E F0 93 01 30 00 63 18 DF 25 97 10 00 00 -93 80 40 FC 03 DF 40 00 B7 1E 00 00 93 8E 0E FF -93 01 40 00 63 1A DF 23 97 10 00 00 93 80 80 FA -03 DF 60 00 B7 FE 00 00 93 8E FE 00 93 01 50 00 -63 1C DF 21 97 10 00 00 93 80 20 F9 03 DF A0 FF -93 0E F0 0F 93 01 60 00 63 10 DF 21 97 10 00 00 -93 80 A0 F7 03 DF C0 FF B7 0E 01 00 93 8E 0E F0 -93 01 70 00 63 12 DF 1F 97 10 00 00 93 80 E0 F5 -03 DF E0 FF B7 1E 00 00 93 8E 0E FF 93 01 80 00 -63 14 DF 1D 97 10 00 00 93 80 20 F4 03 DF 00 00 -B7 FE 00 00 93 8E FE 00 93 01 90 00 63 16 DF 1B -97 10 00 00 93 80 00 F2 93 80 00 FE 83 D2 00 02 -93 0E F0 0F 93 01 A0 00 63 98 D2 19 97 10 00 00 -93 80 40 F0 93 80 B0 FF 83 D2 70 00 B7 0E 01 00 -93 8E 0E F0 93 01 B0 00 63 98 D2 17 93 01 C0 00 -13 02 00 00 97 10 00 00 93 80 E0 ED 03 DF 20 00 -13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 16 D3 15 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 00 EB 03 DF 20 00 -13 00 00 00 13 03 0F 00 B7 FE 00 00 93 8E FE 00 -63 1C D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E7 -03 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -B7 0E 01 00 93 8E 0E F0 63 10 D3 0F 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 F0 00 13 02 00 00 -97 10 00 00 93 80 20 E4 03 DF 20 00 B7 1E 00 00 -93 8E 0E FF 63 1A DF 0B 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 -93 80 80 E1 13 00 00 00 03 DF 20 00 B7 FE 00 00 -93 8E FE 00 63 12 DF 09 13 02 12 00 93 02 20 00 -E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 DE 13 00 00 00 13 00 00 00 03 DF 20 00 -B7 0E 01 00 93 8E 0E F0 63 18 DF 05 13 02 12 00 -93 02 20 00 E3 1C 52 FC 97 12 00 00 93 82 82 DB -03 D1 02 00 13 01 20 00 93 0E 20 00 93 01 20 01 -63 14 D1 03 97 12 00 00 93 82 C2 D9 03 D1 02 00 -13 00 00 00 13 01 20 00 93 0E 20 00 93 01 30 01 -63 14 D1 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 03 DF 00 00 +93 0E F0 0F 93 01 20 00 63 16 DF 27 97 10 00 00 +93 80 40 FE 03 DF 20 00 B7 0E 01 00 93 8E 0E F0 +93 01 30 00 63 18 DF 25 97 10 00 00 93 80 80 FC +03 DF 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00 +63 1A DF 23 97 10 00 00 93 80 C0 FA 03 DF 60 00 +B7 FE 00 00 93 8E FE 00 93 01 50 00 63 1C DF 21 +97 10 00 00 93 80 60 F9 03 DF A0 FF 93 0E F0 0F +93 01 60 00 63 10 DF 21 97 10 00 00 93 80 E0 F7 +03 DF C0 FF B7 0E 01 00 93 8E 0E F0 93 01 70 00 +63 12 DF 1F 97 10 00 00 93 80 20 F6 03 DF E0 FF +B7 1E 00 00 93 8E 0E FF 93 01 80 00 63 14 DF 1D +97 10 00 00 93 80 60 F4 03 DF 00 00 B7 FE 00 00 +93 8E FE 00 93 01 90 00 63 16 DF 1B 97 10 00 00 +93 80 40 F2 93 80 00 FE 83 D2 00 02 93 0E F0 0F +93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 F0 +93 80 B0 FF 83 D2 70 00 B7 0E 01 00 93 8E 0E F0 +93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00 +97 10 00 00 93 80 20 EE 03 DF 20 00 13 03 0F 00 +B7 1E 00 00 93 8E 0E FF 63 16 D3 15 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 +97 10 00 00 93 80 40 EB 03 DF 20 00 13 00 00 00 +13 03 0F 00 B7 FE 00 00 93 8E FE 00 63 1C D3 11 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E7 03 DF 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 00 +93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 +93 80 60 E4 03 DF 20 00 B7 1E 00 00 93 8E 0E FF +63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 00 01 13 02 00 00 97 10 00 00 93 80 C0 E1 +13 00 00 00 03 DF 20 00 B7 FE 00 00 93 8E FE 00 +63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC +93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DE +13 00 00 00 13 00 00 00 03 DF 20 00 B7 0E 01 00 +93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1C 52 FC 97 12 00 00 93 82 C2 DB 03 D1 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DA 03 D1 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lui b/tests/isa/generated/rv32ui-p-lui index 57188c5a177eabb4667d1665520dc1f7295abd7c..61721bce5efd0e0c6bcf2d25539cf5cc129bc218 100755 GIT binary patch delta 254 zcmdm^aX@2&0;9%8#rym$#Q_WglQ{%p1;h*(iZ3t-10w@Smk1JH3dq(# z;wu8#Hb{JsTmTZ^4#>_y;_Cz18AyCf1_maEg2_Jx^Vmv)bA8LrH|GjDGcw9e-YBfh Zs4@AfusUPLmczJ zfoumPz6OvTg2cB2vP+Qo`apI865o=6fr+7J@?XI`wk-GTFdw(gg+k7Zj8c=g3M(_J ZOui|s&X_WpQAAzhp%Ah~3_zzr003oaA^89R diff --git a/tests/isa/generated/rv32ui-p-lui.bin b/tests/isa/generated/rv32ui-p-lui.bin index 449f263baf06d2d9d7d981ccdc7b4f3b20b4f2dd..44a8b2caec4aaa73b31fcf94507c4a699fbf24ee 100755 GIT binary patch delta 35 pcmeBR>R@6i4qyR{p!=4D`*48#*zV#Os4z)WFY0fu}Akgxy)h&{2O2LO?j2HF4s diff --git a/tests/isa/generated/rv32ui-p-lui.dump b/tests/isa/generated/rv32ui-p-lui.dump index 7f28986..75ea1cf 100644 --- a/tests/isa/generated/rv32ui-p-lui.dump +++ b/tests/isa/generated/rv32ui-p-lui.dump @@ -5,57 +5,53 @@ generated/rv32ui-p-lui: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 000000b7 lui ra,0x0 - c: 00000e93 li t4,0 - 10: 00200193 li gp,2 - 14: 05d09a63 bne ra,t4,68 +00000004 : + 4: 000000b7 lui ra,0x0 + 8: 00000e93 li t4,0 + c: 00200193 li gp,2 + 10: 05d09a63 bne ra,t4,64 -00000018 : - 18: fffff0b7 lui ra,0xfffff - 1c: 4010d093 srai ra,ra,0x1 - 20: 80000e93 li t4,-2048 - 24: 00300193 li gp,3 - 28: 05d09063 bne ra,t4,68 +00000014 : + 14: fffff0b7 lui ra,0xfffff + 18: 4010d093 srai ra,ra,0x1 + 1c: 80000e93 li t4,-2048 + 20: 00300193 li gp,3 + 24: 05d09063 bne ra,t4,64 -0000002c : - 2c: 7ffff0b7 lui ra,0x7ffff - 30: 4140d093 srai ra,ra,0x14 - 34: 7ff00e93 li t4,2047 - 38: 00400193 li gp,4 - 3c: 03d09663 bne ra,t4,68 +00000028 : + 28: 7ffff0b7 lui ra,0x7ffff + 2c: 4140d093 srai ra,ra,0x14 + 30: 7ff00e93 li t4,2047 + 34: 00400193 li gp,4 + 38: 03d09663 bne ra,t4,64 -00000040 : - 40: 800000b7 lui ra,0x80000 - 44: 4140d093 srai ra,ra,0x14 - 48: 80000e93 li t4,-2048 - 4c: 00500193 li gp,5 - 50: 01d09c63 bne ra,t4,68 +0000003c : + 3c: 800000b7 lui ra,0x80000 + 40: 4140d093 srai ra,ra,0x14 + 44: 80000e93 li t4,-2048 + 48: 00500193 li gp,5 + 4c: 01d09c63 bne ra,t4,64 -00000054 : - 54: 80000037 lui zero,0x80000 - 58: 00000e93 li t4,0 - 5c: 00600193 li gp,6 - 60: 01d01463 bne zero,t4,68 - 64: 00301863 bne zero,gp,74 +00000050 : + 50: 80000037 lui zero,0x80000 + 54: 00000e93 li t4,0 + 58: 00600193 li gp,6 + 5c: 01d01463 bne zero,t4,64 + 60: 00301663 bne zero,gp,6c -00000068 : - 68: 00000d93 li s11,0 - 6c: 00100d13 li s10,1 +00000064 : + 64: 1000d073 csrwi sstatus,1 -00000070 : - 70: 0000006f j 70 +00000068 : + 68: 0000006f j 68 -00000074 : - 74: 00100d93 li s11,1 - 78: 00100d13 li s10,1 +0000006c : + 6c: 1001d073 csrwi sstatus,3 -0000007c : - 7c: 0000006f j 7c - 80: 0000 unimp +00000070 : + 70: 0000006f j 70 ... Disassembly of section .tohost: @@ -69,14 +65,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-lui.mem b/tests/isa/generated/rv32ui-p-lui.mem index 2d118d0..96393b8 100644 --- a/tests/isa/generated/rv32ui-p-lui.mem +++ b/tests/isa/generated/rv32ui-p-lui.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 000000b7 00000e93 00200193 @@ -23,12 +22,10 @@ fffff0b7 00000e93 00600193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -64,3 +61,6 @@ fffff0b7 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lui.verilog b/tests/isa/generated/rv32ui-p-lui.verilog index 7aeed8f..ff0f65f 100755 --- a/tests/isa/generated/rv32ui-p-lui.verilog +++ b/tests/isa/generated/rv32ui-p-lui.verilog @@ -1,12 +1,12 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 00 93 0E 00 00 -93 01 20 00 63 9A D0 05 B7 F0 FF FF 93 D0 10 40 -93 0E 00 80 93 01 30 00 63 90 D0 05 B7 F0 FF 7F -93 D0 40 41 93 0E F0 7F 93 01 40 00 63 96 D0 03 -B7 00 00 80 93 D0 40 41 93 0E 00 80 93 01 50 00 -63 9C D0 01 37 00 00 80 93 0E 00 00 93 01 60 00 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +73 50 00 10 B7 00 00 00 93 0E 00 00 93 01 20 00 +63 9A D0 05 B7 F0 FF FF 93 D0 10 40 93 0E 00 80 +93 01 30 00 63 90 D0 05 B7 F0 FF 7F 93 D0 40 41 +93 0E F0 7F 93 01 40 00 63 96 D0 03 B7 00 00 80 +93 D0 40 41 93 0E 00 80 93 01 50 00 63 9C D0 01 +37 00 00 80 93 0E 00 00 93 01 60 00 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @000000C0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lw b/tests/isa/generated/rv32ui-p-lw index 5dde85c133a16177b20275ed62dab4277727433f..6ed05d833ec6aca95a27dad6869c6be6b669d38a 100755 GIT binary patch delta 649 zcmYk4Pbh406vxlGgZzp6yG9K2X6_wh%woI@Lds&ih5T6 zqabz#09iJv!mU7#T0}!n% zYF!LhS_mVQ|7f&UhZN<8CaW7lzpfoe3OE74i3U&=FASqubBShc7~&To=D8QYKrx?Q zGb^`^4UdP7#aP^227EtPytv%a9&29+Ln)S5Y^{08HU_YW%6xiXLgB#2hv4GfC;(Rk zoolbe&8#OR{>gfYVm^H|A-R35PbD5;eJ=3`>syJ(Sr_4_fiTUoiLQM*j?pvMb*gXF zS8XY=#fkqC?=%09-f_enmH32tPGXyRPvSK5j>LbNlM6vyAop$L-X&ZH&Q>fM>9DTHD$Ah?|%CEy@pK?lLgO&Lmqg&;We>{ckE zJ|PMj6gsq13=SDw4zXK799xjvir^9`r9&(6-;2TTgYSF)-}}G&bKiF`Q=8ecc&T9r z@pvLiB-m9Q)$^oZADZ-Uw7Nsh7`J6J))LN>`#8vSCg`75_ozA2io5k{f zvOdI)tRutwgSqy7Mw#4XV0PyG-&);o-)DH_J`9HM^5q;Eo?PoD&VD0{yn-Pk9-e3BE`@D_t{P5!^`>$`4qyerYC#e-m}2EFJzZuWw-3F86_dav$IS$&3yR$#VCV zA<7zlF|R+su>BwZhsk~a7$!3YFeD4WOpHZE$VX`@+K9HTxXw1a$eDWMdGa%`>$v=4D`*48+p~fOJE{L~d!z1Am#0xK(SadZ?!Gca&S7G_G^4}BYH7=c??6@m5hfHcB#Ydahp0O6m3jSv z{|FNr7?Q>A%R)_`cwU;ZVd86f#)iqflJAZAoxOcuGwJY5LrpC+c8U^xZ`BzXgnJY%wi0odQd XyaEjQK>5i)8qD6jkSUyZl0XLlz5G`* diff --git a/tests/isa/generated/rv32ui-p-lw.dump b/tests/isa/generated/rv32ui-p-lw.dump index b7a8d30..3501716 100644 --- a/tests/isa/generated/rv32ui-p-lw.dump +++ b/tests/isa/generated/rv32ui-p-lw.dump @@ -5,221 +5,218 @@ generated/rv32ui-p-lw: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 0000af03 lw t5,0(ra) - 14: 00ff0eb7 lui t4,0xff0 - 18: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077> - 1c: 00200193 li gp,2 - 20: 27df1a63 bne t5,t4,294 +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 0000af03 lw t5,0(ra) + 10: 00ff0eb7 lui t4,0xff0 + 14: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077> + 18: 00200193 li gp,2 + 1c: 27df1a63 bne t5,t4,290 -00000024 : - 24: 00001097 auipc ra,0x1 - 28: fdc08093 addi ra,ra,-36 # 1000 - 2c: 0040af03 lw t5,4(ra) - 30: ff010eb7 lui t4,0xff010 - 34: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> - 38: 00300193 li gp,3 - 3c: 25df1c63 bne t5,t4,294 +00000020 : + 20: 00001097 auipc ra,0x1 + 24: fe008093 addi ra,ra,-32 # 1000 + 28: 0040af03 lw t5,4(ra) + 2c: ff010eb7 lui t4,0xff010 + 30: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> + 34: 00300193 li gp,3 + 38: 25df1c63 bne t5,t4,290 -00000040 : - 40: 00001097 auipc ra,0x1 - 44: fc008093 addi ra,ra,-64 # 1000 - 48: 0080af03 lw t5,8(ra) - 4c: 0ff01eb7 lui t4,0xff01 - 50: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> - 54: 00400193 li gp,4 - 58: 23df1e63 bne t5,t4,294 +0000003c : + 3c: 00001097 auipc ra,0x1 + 40: fc408093 addi ra,ra,-60 # 1000 + 44: 0080af03 lw t5,8(ra) + 48: 0ff01eb7 lui t4,0xff01 + 4c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> + 50: 00400193 li gp,4 + 54: 23df1e63 bne t5,t4,290 -0000005c : - 5c: 00001097 auipc ra,0x1 - 60: fa408093 addi ra,ra,-92 # 1000 - 64: 00c0af03 lw t5,12(ra) - 68: f00ffeb7 lui t4,0xf00ff - 6c: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> - 70: 00500193 li gp,5 - 74: 23df1063 bne t5,t4,294 +00000058 : + 58: 00001097 auipc ra,0x1 + 5c: fa808093 addi ra,ra,-88 # 1000 + 60: 00c0af03 lw t5,12(ra) + 64: f00ffeb7 lui t4,0xf00ff + 68: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> + 6c: 00500193 li gp,5 + 70: 23df1063 bne t5,t4,290 -00000078 : - 78: 00001097 auipc ra,0x1 - 7c: f9408093 addi ra,ra,-108 # 100c - 80: ff40af03 lw t5,-12(ra) - 84: 00ff0eb7 lui t4,0xff0 - 88: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077> - 8c: 00600193 li gp,6 - 90: 21df1263 bne t5,t4,294 +00000074 : + 74: 00001097 auipc ra,0x1 + 78: f9808093 addi ra,ra,-104 # 100c + 7c: ff40af03 lw t5,-12(ra) + 80: 00ff0eb7 lui t4,0xff0 + 84: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077> + 88: 00600193 li gp,6 + 8c: 21df1263 bne t5,t4,290 -00000094 : - 94: 00001097 auipc ra,0x1 - 98: f7808093 addi ra,ra,-136 # 100c - 9c: ff80af03 lw t5,-8(ra) - a0: ff010eb7 lui t4,0xff010 - a4: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> - a8: 00700193 li gp,7 - ac: 1fdf1463 bne t5,t4,294 +00000090 : + 90: 00001097 auipc ra,0x1 + 94: f7c08093 addi ra,ra,-132 # 100c + 98: ff80af03 lw t5,-8(ra) + 9c: ff010eb7 lui t4,0xff010 + a0: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> + a4: 00700193 li gp,7 + a8: 1fdf1463 bne t5,t4,290 -000000b0 : - b0: 00001097 auipc ra,0x1 - b4: f5c08093 addi ra,ra,-164 # 100c - b8: ffc0af03 lw t5,-4(ra) - bc: 0ff01eb7 lui t4,0xff01 - c0: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> - c4: 00800193 li gp,8 - c8: 1ddf1663 bne t5,t4,294 +000000ac : + ac: 00001097 auipc ra,0x1 + b0: f6008093 addi ra,ra,-160 # 100c + b4: ffc0af03 lw t5,-4(ra) + b8: 0ff01eb7 lui t4,0xff01 + bc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> + c0: 00800193 li gp,8 + c4: 1ddf1663 bne t5,t4,290 -000000cc : - cc: 00001097 auipc ra,0x1 - d0: f4008093 addi ra,ra,-192 # 100c - d4: 0000af03 lw t5,0(ra) - d8: f00ffeb7 lui t4,0xf00ff - dc: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> - e0: 00900193 li gp,9 - e4: 1bdf1863 bne t5,t4,294 +000000c8 : + c8: 00001097 auipc ra,0x1 + cc: f4408093 addi ra,ra,-188 # 100c + d0: 0000af03 lw t5,0(ra) + d4: f00ffeb7 lui t4,0xf00ff + d8: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> + dc: 00900193 li gp,9 + e0: 1bdf1863 bne t5,t4,290 -000000e8 : - e8: 00001097 auipc ra,0x1 - ec: f1808093 addi ra,ra,-232 # 1000 - f0: fe008093 addi ra,ra,-32 - f4: 0200a283 lw t0,32(ra) - f8: 00ff0eb7 lui t4,0xff0 - fc: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077> - 100: 00a00193 li gp,10 - 104: 19d29863 bne t0,t4,294 +000000e4 : + e4: 00001097 auipc ra,0x1 + e8: f1c08093 addi ra,ra,-228 # 1000 + ec: fe008093 addi ra,ra,-32 + f0: 0200a283 lw t0,32(ra) + f4: 00ff0eb7 lui t4,0xff0 + f8: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077> + fc: 00a00193 li gp,10 + 100: 19d29863 bne t0,t4,290 -00000108 : - 108: 00001097 auipc ra,0x1 - 10c: ef808093 addi ra,ra,-264 # 1000 - 110: ffd08093 addi ra,ra,-3 - 114: 0070a283 lw t0,7(ra) - 118: ff010eb7 lui t4,0xff010 - 11c: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> - 120: 00b00193 li gp,11 - 124: 17d29863 bne t0,t4,294 +00000104 : + 104: 00001097 auipc ra,0x1 + 108: efc08093 addi ra,ra,-260 # 1000 + 10c: ffd08093 addi ra,ra,-3 + 110: 0070a283 lw t0,7(ra) + 114: ff010eb7 lui t4,0xff010 + 118: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> + 11c: 00b00193 li gp,11 + 120: 17d29863 bne t0,t4,290 -00000128 : - 128: 00c00193 li gp,12 - 12c: 00000213 li tp,0 - 130: 00001097 auipc ra,0x1 - 134: ed408093 addi ra,ra,-300 # 1004 - 138: 0040af03 lw t5,4(ra) - 13c: 000f0313 mv t1,t5 - 140: 0ff01eb7 lui t4,0xff01 - 144: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> - 148: 15d31663 bne t1,t4,294 - 14c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 150: 00200293 li t0,2 - 154: fc521ee3 bne tp,t0,130 +00000124 : + 124: 00c00193 li gp,12 + 128: 00000213 li tp,0 + 12c: 00001097 auipc ra,0x1 + 130: ed808093 addi ra,ra,-296 # 1004 + 134: 0040af03 lw t5,4(ra) + 138: 000f0313 mv t1,t5 + 13c: 0ff01eb7 lui t4,0xff01 + 140: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> + 144: 15d31663 bne t1,t4,290 + 148: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 14c: 00200293 li t0,2 + 150: fc521ee3 bne tp,t0,12c -00000158 : - 158: 00d00193 li gp,13 - 15c: 00000213 li tp,0 - 160: 00001097 auipc ra,0x1 - 164: ea808093 addi ra,ra,-344 # 1008 - 168: 0040af03 lw t5,4(ra) - 16c: 00000013 nop - 170: 000f0313 mv t1,t5 - 174: f00ffeb7 lui t4,0xf00ff - 178: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> - 17c: 11d31c63 bne t1,t4,294 - 180: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 184: 00200293 li t0,2 - 188: fc521ce3 bne tp,t0,160 +00000154 : + 154: 00d00193 li gp,13 + 158: 00000213 li tp,0 + 15c: 00001097 auipc ra,0x1 + 160: eac08093 addi ra,ra,-340 # 1008 + 164: 0040af03 lw t5,4(ra) + 168: 00000013 nop + 16c: 000f0313 mv t1,t5 + 170: f00ffeb7 lui t4,0xf00ff + 174: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> + 178: 11d31c63 bne t1,t4,290 + 17c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 180: 00200293 li t0,2 + 184: fc521ce3 bne tp,t0,15c -0000018c : - 18c: 00e00193 li gp,14 - 190: 00000213 li tp,0 - 194: 00001097 auipc ra,0x1 - 198: e6c08093 addi ra,ra,-404 # 1000 - 19c: 0040af03 lw t5,4(ra) +00000188 : + 188: 00e00193 li gp,14 + 18c: 00000213 li tp,0 + 190: 00001097 auipc ra,0x1 + 194: e7008093 addi ra,ra,-400 # 1000 + 198: 0040af03 lw t5,4(ra) + 19c: 00000013 nop 1a0: 00000013 nop - 1a4: 00000013 nop - 1a8: 000f0313 mv t1,t5 - 1ac: ff010eb7 lui t4,0xff010 - 1b0: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> - 1b4: 0fd31063 bne t1,t4,294 - 1b8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1bc: 00200293 li t0,2 - 1c0: fc521ae3 bne tp,t0,194 + 1a4: 000f0313 mv t1,t5 + 1a8: ff010eb7 lui t4,0xff010 + 1ac: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> + 1b0: 0fd31063 bne t1,t4,290 + 1b4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1b8: 00200293 li t0,2 + 1bc: fc521ae3 bne tp,t0,190 -000001c4 : - 1c4: 00f00193 li gp,15 - 1c8: 00000213 li tp,0 - 1cc: 00001097 auipc ra,0x1 - 1d0: e3808093 addi ra,ra,-456 # 1004 - 1d4: 0040af03 lw t5,4(ra) - 1d8: 0ff01eb7 lui t4,0xff01 - 1dc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> - 1e0: 0bdf1a63 bne t5,t4,294 - 1e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e8: 00200293 li t0,2 - 1ec: fe5210e3 bne tp,t0,1cc +000001c0 : + 1c0: 00f00193 li gp,15 + 1c4: 00000213 li tp,0 + 1c8: 00001097 auipc ra,0x1 + 1cc: e3c08093 addi ra,ra,-452 # 1004 + 1d0: 0040af03 lw t5,4(ra) + 1d4: 0ff01eb7 lui t4,0xff01 + 1d8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68> + 1dc: 0bdf1a63 bne t5,t4,290 + 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e4: 00200293 li t0,2 + 1e8: fe5210e3 bne tp,t0,1c8 -000001f0 : - 1f0: 01000193 li gp,16 - 1f4: 00000213 li tp,0 - 1f8: 00001097 auipc ra,0x1 - 1fc: e1008093 addi ra,ra,-496 # 1008 - 200: 00000013 nop - 204: 0040af03 lw t5,4(ra) - 208: f00ffeb7 lui t4,0xf00ff - 20c: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> - 210: 09df1263 bne t5,t4,294 - 214: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 218: 00200293 li t0,2 - 21c: fc521ee3 bne tp,t0,1f8 +000001ec : + 1ec: 01000193 li gp,16 + 1f0: 00000213 li tp,0 + 1f4: 00001097 auipc ra,0x1 + 1f8: e1408093 addi ra,ra,-492 # 1008 + 1fc: 00000013 nop + 200: 0040af03 lw t5,4(ra) + 204: f00ffeb7 lui t4,0xf00ff + 208: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87> + 20c: 09df1263 bne t5,t4,290 + 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 214: 00200293 li t0,2 + 218: fc521ee3 bne tp,t0,1f4 -00000220 : - 220: 01100193 li gp,17 - 224: 00000213 li tp,0 - 228: 00001097 auipc ra,0x1 - 22c: dd808093 addi ra,ra,-552 # 1000 +0000021c : + 21c: 01100193 li gp,17 + 220: 00000213 li tp,0 + 224: 00001097 auipc ra,0x1 + 228: ddc08093 addi ra,ra,-548 # 1000 + 22c: 00000013 nop 230: 00000013 nop - 234: 00000013 nop - 238: 0040af03 lw t5,4(ra) - 23c: ff010eb7 lui t4,0xff010 - 240: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> - 244: 05df1863 bne t5,t4,294 - 248: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 24c: 00200293 li t0,2 - 250: fc521ce3 bne tp,t0,228 + 234: 0040af03 lw t5,4(ra) + 238: ff010eb7 lui t4,0xff010 + 23c: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78> + 240: 05df1863 bne t5,t4,290 + 244: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 248: 00200293 li t0,2 + 24c: fc521ce3 bne tp,t0,224 -00000254 : - 254: 00001297 auipc t0,0x1 - 258: dac28293 addi t0,t0,-596 # 1000 - 25c: 0002a103 lw sp,0(t0) - 260: 00200113 li sp,2 - 264: 00200e93 li t4,2 - 268: 01200193 li gp,18 - 26c: 03d11463 bne sp,t4,294 +00000250 : + 250: 00001297 auipc t0,0x1 + 254: db028293 addi t0,t0,-592 # 1000 + 258: 0002a103 lw sp,0(t0) + 25c: 00200113 li sp,2 + 260: 00200e93 li t4,2 + 264: 01200193 li gp,18 + 268: 03d11463 bne sp,t4,290 -00000270 : - 270: 00001297 auipc t0,0x1 - 274: d9028293 addi t0,t0,-624 # 1000 - 278: 0002a103 lw sp,0(t0) - 27c: 00000013 nop - 280: 00200113 li sp,2 - 284: 00200e93 li t4,2 - 288: 01300193 li gp,19 - 28c: 01d11463 bne sp,t4,294 - 290: 00301863 bne zero,gp,2a0 +0000026c : + 26c: 00001297 auipc t0,0x1 + 270: d9428293 addi t0,t0,-620 # 1000 + 274: 0002a103 lw sp,0(t0) + 278: 00000013 nop + 27c: 00200113 li sp,2 + 280: 00200e93 li t4,2 + 284: 01300193 li gp,19 + 288: 01d11463 bne sp,t4,290 + 28c: 00301663 bne zero,gp,298 -00000294 : - 294: 00000d93 li s11,0 - 298: 00100d13 li s10,1 +00000290 : + 290: 1000d073 csrwi sstatus,1 -0000029c : - 29c: 0000006f j 29c +00000294 : + 294: 0000006f j 294 -000002a0 : - 2a0: 00100d93 li s11,1 - 2a4: 00100d13 li s10,1 +00000298 : + 298: 1001d073 csrwi sstatus,3 -000002a8 : - 2a8: 0000006f j 2a8 +0000029c : + 29c: 0000006f j 29c ... Disassembly of section .data: @@ -250,14 +247,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-lw.mem b/tests/isa/generated/rv32ui-p-lw.mem index eca02e2..5456bf4 100644 --- a/tests/isa/generated/rv32ui-p-lw.mem +++ b/tests/isa/generated/rv32ui-p-lw.mem @@ -1,63 +1,62 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 0000af03 00ff0eb7 0ffe8e93 00200193 27df1a63 00001097 -fdc08093 +fe008093 0040af03 ff010eb7 f00e8e93 00300193 25df1c63 00001097 -fc008093 +fc408093 0080af03 0ff01eb7 ff0e8e93 00400193 23df1e63 00001097 -fa408093 +fa808093 00c0af03 f00ffeb7 00fe8e93 00500193 23df1063 00001097 -f9408093 +f9808093 ff40af03 00ff0eb7 0ffe8e93 00600193 21df1263 00001097 -f7808093 +f7c08093 ff80af03 ff010eb7 f00e8e93 00700193 1fdf1463 00001097 -f5c08093 +f6008093 ffc0af03 0ff01eb7 ff0e8e93 00800193 1ddf1663 00001097 -f4008093 +f4408093 0000af03 f00ffeb7 00fe8e93 00900193 1bdf1863 00001097 -f1808093 +f1c08093 fe008093 0200a283 00ff0eb7 @@ -65,7 +64,7 @@ fe008093 00a00193 19d29863 00001097 -ef808093 +efc08093 ffd08093 0070a283 ff010eb7 @@ -75,7 +74,7 @@ f00e8e93 00c00193 00000213 00001097 -ed408093 +ed808093 0040af03 000f0313 0ff01eb7 @@ -87,7 +86,7 @@ fc521ee3 00d00193 00000213 00001097 -ea808093 +eac08093 0040af03 00000013 000f0313 @@ -100,7 +99,7 @@ fc521ce3 00e00193 00000213 00001097 -e6c08093 +e7008093 0040af03 00000013 00000013 @@ -114,7 +113,7 @@ fc521ae3 00f00193 00000213 00001097 -e3808093 +e3c08093 0040af03 0ff01eb7 ff0e8e93 @@ -125,7 +124,7 @@ fe5210e3 01000193 00000213 00001097 -e1008093 +e1408093 00000013 0040af03 f00ffeb7 @@ -137,7 +136,7 @@ fc521ee3 01100193 00000213 00001097 -dd808093 +ddc08093 00000013 00000013 0040af03 @@ -148,26 +147,24 @@ f00e8e93 00200293 fc521ce3 00001297 -dac28293 +db028293 0002a103 00200113 00200e93 01200193 03d11463 00001297 -d9028293 +d9428293 0002a103 00000013 00200113 00200e93 01300193 01d11463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ d9028293 00000000 00000000 00000000 +00000000 +00000000 +00000000 00ff00ff ff00ff00 0ff00ff0 diff --git a/tests/isa/generated/rv32ui-p-lw.verilog b/tests/isa/generated/rv32ui-p-lw.verilog index 45b1988..017bc56 100755 --- a/tests/isa/generated/rv32ui-p-lw.verilog +++ b/tests/isa/generated/rv32ui-p-lw.verilog @@ -1,47 +1,47 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 AF 00 00 B7 0E FF 00 93 8E FE 0F 93 01 20 00 -63 1A DF 27 97 10 00 00 93 80 C0 FD 03 AF 40 00 -B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1C DF 25 -97 10 00 00 93 80 00 FC 03 AF 80 00 B7 1E F0 0F -93 8E 0E FF 93 01 40 00 63 1E DF 23 97 10 00 00 -93 80 40 FA 03 AF C0 00 B7 FE 0F F0 93 8E FE 00 -93 01 50 00 63 10 DF 23 97 10 00 00 93 80 40 F9 -03 AF 40 FF B7 0E FF 00 93 8E FE 0F 93 01 60 00 -63 12 DF 21 97 10 00 00 93 80 80 F7 03 AF 80 FF -B7 0E 01 FF 93 8E 0E F0 93 01 70 00 63 14 DF 1F -97 10 00 00 93 80 C0 F5 03 AF C0 FF B7 1E F0 0F -93 8E 0E FF 93 01 80 00 63 16 DF 1D 97 10 00 00 -93 80 00 F4 03 AF 00 00 B7 FE 0F F0 93 8E FE 00 -93 01 90 00 63 18 DF 1B 97 10 00 00 93 80 80 F1 -93 80 00 FE 83 A2 00 02 B7 0E FF 00 93 8E FE 0F -93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 EF -93 80 D0 FF 83 A2 70 00 B7 0E 01 FF 93 8E 0E F0 -93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00 -97 10 00 00 93 80 40 ED 03 AF 40 00 13 03 0F 00 -B7 1E F0 0F 93 8E 0E FF 63 16 D3 15 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 -97 10 00 00 93 80 80 EA 03 AF 40 00 13 00 00 00 -13 03 0F 00 B7 FE 0F F0 93 8E FE 00 63 1C D3 11 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E6 03 AF 40 00 -13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 FF -93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 -93 80 80 E3 03 AF 40 00 B7 1E F0 0F 93 8E 0E FF -63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 00 01 13 02 00 00 97 10 00 00 93 80 00 E1 -13 00 00 00 03 AF 40 00 B7 FE 0F F0 93 8E FE 00 -63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC -93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DD -13 00 00 00 13 00 00 00 03 AF 40 00 B7 0E 01 FF -93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1C 52 FC 97 12 00 00 93 82 C2 DA 03 A1 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 D9 03 A1 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 03 AF 00 00 +B7 0E FF 00 93 8E FE 0F 93 01 20 00 63 1A DF 27 +97 10 00 00 93 80 00 FE 03 AF 40 00 B7 0E 01 FF +93 8E 0E F0 93 01 30 00 63 1C DF 25 97 10 00 00 +93 80 40 FC 03 AF 80 00 B7 1E F0 0F 93 8E 0E FF +93 01 40 00 63 1E DF 23 97 10 00 00 93 80 80 FA +03 AF C0 00 B7 FE 0F F0 93 8E FE 00 93 01 50 00 +63 10 DF 23 97 10 00 00 93 80 80 F9 03 AF 40 FF +B7 0E FF 00 93 8E FE 0F 93 01 60 00 63 12 DF 21 +97 10 00 00 93 80 C0 F7 03 AF 80 FF B7 0E 01 FF +93 8E 0E F0 93 01 70 00 63 14 DF 1F 97 10 00 00 +93 80 00 F6 03 AF C0 FF B7 1E F0 0F 93 8E 0E FF +93 01 80 00 63 16 DF 1D 97 10 00 00 93 80 40 F4 +03 AF 00 00 B7 FE 0F F0 93 8E FE 00 93 01 90 00 +63 18 DF 1B 97 10 00 00 93 80 C0 F1 93 80 00 FE +83 A2 00 02 B7 0E FF 00 93 8E FE 0F 93 01 A0 00 +63 98 D2 19 97 10 00 00 93 80 C0 EF 93 80 D0 FF +83 A2 70 00 B7 0E 01 FF 93 8E 0E F0 93 01 B0 00 +63 98 D2 17 93 01 C0 00 13 02 00 00 97 10 00 00 +93 80 80 ED 03 AF 40 00 13 03 0F 00 B7 1E F0 0F +93 8E 0E FF 63 16 D3 15 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 D0 00 13 02 00 00 97 10 00 00 +93 80 C0 EA 03 AF 40 00 13 00 00 00 13 03 0F 00 +B7 FE 0F F0 93 8E FE 00 63 1C D3 11 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 E0 00 13 02 00 00 +97 10 00 00 93 80 00 E7 03 AF 40 00 13 00 00 00 +13 00 00 00 13 03 0F 00 B7 0E 01 FF 93 8E 0E F0 +63 10 D3 0F 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 F0 00 13 02 00 00 97 10 00 00 93 80 C0 E3 +03 AF 40 00 B7 1E F0 0F 93 8E 0E FF 63 1A DF 0B +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 00 01 +13 02 00 00 97 10 00 00 93 80 40 E1 13 00 00 00 +03 AF 40 00 B7 FE 0F F0 93 8E FE 00 63 12 DF 09 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 10 01 +13 02 00 00 97 10 00 00 93 80 C0 DD 13 00 00 00 +13 00 00 00 03 AF 40 00 B7 0E 01 FF 93 8E 0E F0 +63 18 DF 05 13 02 12 00 93 02 20 00 E3 1C 52 FC +97 12 00 00 93 82 02 DB 03 A1 02 00 13 01 20 00 +93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00 +93 82 42 D9 03 A1 02 00 13 00 00 00 13 01 20 00 +93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00001000 diff --git a/tests/isa/generated/rv32ui-p-or b/tests/isa/generated/rv32ui-p-or index f2f7a353ac52a8cd946fce23276624ab4a991f88..10ab46db686f2512d1d96cc7d0b4a0fdea541359 100755 GIT binary patch delta 611 zcmdmBy2W&Y0^^*Giud_hiUSw~CJBmcmJwLXA|PhKP<(+wAfJH&NHYrLPfXk_=O_#0 z6=fDDmoYF31DUKvWyVICMg;~89E@Dt6BFeo9}u;uX93DU03*XqAmapFfRO>DO9Lv) zz`)2L1!Vgm@fCsW0wg|2?Gz-wK9IcwiEjpE-$CNrGBDIL{6G?L0kRDkVFHX`%W{zT zAwa1$Nc!{GDDE~B|v@;62As$Kf?(mffk?w7G{J2JwT=f5+CHd z0wn$%Ab$-Ke+iKP1c|=}$X8$i5@5i{umwoRAo2Hrd{luXa0JMog2X=qlTV80u^Ac|B;_V=wv~9!$S5~iRZ5w0#bi$@bw-QHg;MGgQIZS{ L4nVaq01HF_efK~a delta 602 zcmYk%u}cDR6bJD4>Jpd3i>thjpoE}?ATf;w8;pjA0*8o*hN2cBO3b7|aA|BXehoL& z5I8tgT7ir{Jg zHWBCgBqkdN$EG%C2N~&es|l>zmnDcebh0w!t;EVb5?: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: ff0100b7 lui ra,0xff010 - c: f0008093 addi ra,ra,-256 # ff00ff00 - 10: 0f0f1137 lui sp,0xf0f1 - 14: f0f10113 addi sp,sp,-241 # f0f0f0f - 18: 0020ef33 or t5,ra,sp - 1c: ff100eb7 lui t4,0xff100 - 20: f0fe8e93 addi t4,t4,-241 # ff0fff0f - 24: 00200193 li gp,2 - 28: 4bdf1263 bne t5,t4,4cc +00000004 : + 4: ff0100b7 lui ra,0xff010 + 8: f0008093 addi ra,ra,-256 # ff00ff00 + c: 0f0f1137 lui sp,0xf0f1 + 10: f0f10113 addi sp,sp,-241 # f0f0f0f + 14: 0020ef33 or t5,ra,sp + 18: ff100eb7 lui t4,0xff100 + 1c: f0fe8e93 addi t4,t4,-241 # ff0fff0f + 20: 00200193 li gp,2 + 24: 4bdf1263 bne t5,t4,4c8 -0000002c : - 2c: 0ff010b7 lui ra,0xff01 - 30: ff008093 addi ra,ra,-16 # ff00ff0 - 34: f0f0f137 lui sp,0xf0f0f - 38: 0f010113 addi sp,sp,240 # f0f0f0f0 - 3c: 0020ef33 or t5,ra,sp - 40: fff10eb7 lui t4,0xfff10 - 44: ff0e8e93 addi t4,t4,-16 # fff0fff0 - 48: 00300193 li gp,3 - 4c: 49df1063 bne t5,t4,4cc +00000028 : + 28: 0ff010b7 lui ra,0xff01 + 2c: ff008093 addi ra,ra,-16 # ff00ff0 + 30: f0f0f137 lui sp,0xf0f0f + 34: 0f010113 addi sp,sp,240 # f0f0f0f0 + 38: 0020ef33 or t5,ra,sp + 3c: fff10eb7 lui t4,0xfff10 + 40: ff0e8e93 addi t4,t4,-16 # fff0fff0 + 44: 00300193 li gp,3 + 48: 49df1063 bne t5,t4,4c8 -00000050 : - 50: 00ff00b7 lui ra,0xff0 - 54: 0ff08093 addi ra,ra,255 # ff00ff - 58: 0f0f1137 lui sp,0xf0f1 - 5c: f0f10113 addi sp,sp,-241 # f0f0f0f - 60: 0020ef33 or t5,ra,sp - 64: 0fff1eb7 lui t4,0xfff1 - 68: fffe8e93 addi t4,t4,-1 # fff0fff - 6c: 00400193 li gp,4 - 70: 45df1e63 bne t5,t4,4cc +0000004c : + 4c: 00ff00b7 lui ra,0xff0 + 50: 0ff08093 addi ra,ra,255 # ff00ff + 54: 0f0f1137 lui sp,0xf0f1 + 58: f0f10113 addi sp,sp,-241 # f0f0f0f + 5c: 0020ef33 or t5,ra,sp + 60: 0fff1eb7 lui t4,0xfff1 + 64: fffe8e93 addi t4,t4,-1 # fff0fff + 68: 00400193 li gp,4 + 6c: 45df1e63 bne t5,t4,4c8 -00000074 : - 74: f00ff0b7 lui ra,0xf00ff - 78: 00f08093 addi ra,ra,15 # f00ff00f - 7c: f0f0f137 lui sp,0xf0f0f - 80: 0f010113 addi sp,sp,240 # f0f0f0f0 - 84: 0020ef33 or t5,ra,sp - 88: f0fffeb7 lui t4,0xf0fff - 8c: 0ffe8e93 addi t4,t4,255 # f0fff0ff - 90: 00500193 li gp,5 - 94: 43df1c63 bne t5,t4,4cc +00000070 : + 70: f00ff0b7 lui ra,0xf00ff + 74: 00f08093 addi ra,ra,15 # f00ff00f + 78: f0f0f137 lui sp,0xf0f0f + 7c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 80: 0020ef33 or t5,ra,sp + 84: f0fffeb7 lui t4,0xf0fff + 88: 0ffe8e93 addi t4,t4,255 # f0fff0ff + 8c: 00500193 li gp,5 + 90: 43df1c63 bne t5,t4,4c8 -00000098 : - 98: ff0100b7 lui ra,0xff010 - 9c: f0008093 addi ra,ra,-256 # ff00ff00 - a0: 0f0f1137 lui sp,0xf0f1 - a4: f0f10113 addi sp,sp,-241 # f0f0f0f - a8: 0020e0b3 or ra,ra,sp - ac: ff100eb7 lui t4,0xff100 - b0: f0fe8e93 addi t4,t4,-241 # ff0fff0f - b4: 00600193 li gp,6 - b8: 41d09a63 bne ra,t4,4cc +00000094 : + 94: ff0100b7 lui ra,0xff010 + 98: f0008093 addi ra,ra,-256 # ff00ff00 + 9c: 0f0f1137 lui sp,0xf0f1 + a0: f0f10113 addi sp,sp,-241 # f0f0f0f + a4: 0020e0b3 or ra,ra,sp + a8: ff100eb7 lui t4,0xff100 + ac: f0fe8e93 addi t4,t4,-241 # ff0fff0f + b0: 00600193 li gp,6 + b4: 41d09a63 bne ra,t4,4c8 -000000bc : - bc: ff0100b7 lui ra,0xff010 - c0: f0008093 addi ra,ra,-256 # ff00ff00 - c4: 0f0f1137 lui sp,0xf0f1 - c8: f0f10113 addi sp,sp,-241 # f0f0f0f - cc: 0020e133 or sp,ra,sp - d0: ff100eb7 lui t4,0xff100 - d4: f0fe8e93 addi t4,t4,-241 # ff0fff0f - d8: 00700193 li gp,7 - dc: 3fd11863 bne sp,t4,4cc +000000b8 : + b8: ff0100b7 lui ra,0xff010 + bc: f0008093 addi ra,ra,-256 # ff00ff00 + c0: 0f0f1137 lui sp,0xf0f1 + c4: f0f10113 addi sp,sp,-241 # f0f0f0f + c8: 0020e133 or sp,ra,sp + cc: ff100eb7 lui t4,0xff100 + d0: f0fe8e93 addi t4,t4,-241 # ff0fff0f + d4: 00700193 li gp,7 + d8: 3fd11863 bne sp,t4,4c8 -000000e0 : - e0: ff0100b7 lui ra,0xff010 - e4: f0008093 addi ra,ra,-256 # ff00ff00 - e8: 0010e0b3 or ra,ra,ra - ec: ff010eb7 lui t4,0xff010 - f0: f00e8e93 addi t4,t4,-256 # ff00ff00 - f4: 00800193 li gp,8 - f8: 3dd09a63 bne ra,t4,4cc +000000dc : + dc: ff0100b7 lui ra,0xff010 + e0: f0008093 addi ra,ra,-256 # ff00ff00 + e4: 0010e0b3 or ra,ra,ra + e8: ff010eb7 lui t4,0xff010 + ec: f00e8e93 addi t4,t4,-256 # ff00ff00 + f0: 00800193 li gp,8 + f4: 3dd09a63 bne ra,t4,4c8 -000000fc : - fc: 00000213 li tp,0 - 100: ff0100b7 lui ra,0xff010 - 104: f0008093 addi ra,ra,-256 # ff00ff00 - 108: 0f0f1137 lui sp,0xf0f1 - 10c: f0f10113 addi sp,sp,-241 # f0f0f0f - 110: 0020ef33 or t5,ra,sp - 114: 000f0313 mv t1,t5 - 118: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 11c: 00200293 li t0,2 - 120: fe5210e3 bne tp,t0,100 - 124: ff100eb7 lui t4,0xff100 - 128: f0fe8e93 addi t4,t4,-241 # ff0fff0f - 12c: 00900193 li gp,9 - 130: 39d31e63 bne t1,t4,4cc +000000f8 : + f8: 00000213 li tp,0 + fc: ff0100b7 lui ra,0xff010 + 100: f0008093 addi ra,ra,-256 # ff00ff00 + 104: 0f0f1137 lui sp,0xf0f1 + 108: f0f10113 addi sp,sp,-241 # f0f0f0f + 10c: 0020ef33 or t5,ra,sp + 110: 000f0313 mv t1,t5 + 114: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 118: 00200293 li t0,2 + 11c: fe5210e3 bne tp,t0,fc + 120: ff100eb7 lui t4,0xff100 + 124: f0fe8e93 addi t4,t4,-241 # ff0fff0f + 128: 00900193 li gp,9 + 12c: 39d31e63 bne t1,t4,4c8 -00000134 : - 134: 00000213 li tp,0 - 138: 0ff010b7 lui ra,0xff01 - 13c: ff008093 addi ra,ra,-16 # ff00ff0 - 140: f0f0f137 lui sp,0xf0f0f - 144: 0f010113 addi sp,sp,240 # f0f0f0f0 - 148: 0020ef33 or t5,ra,sp - 14c: 00000013 nop - 150: 000f0313 mv t1,t5 - 154: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 158: 00200293 li t0,2 - 15c: fc521ee3 bne tp,t0,138 - 160: fff10eb7 lui t4,0xfff10 - 164: ff0e8e93 addi t4,t4,-16 # fff0fff0 - 168: 00a00193 li gp,10 - 16c: 37d31063 bne t1,t4,4cc +00000130 : + 130: 00000213 li tp,0 + 134: 0ff010b7 lui ra,0xff01 + 138: ff008093 addi ra,ra,-16 # ff00ff0 + 13c: f0f0f137 lui sp,0xf0f0f + 140: 0f010113 addi sp,sp,240 # f0f0f0f0 + 144: 0020ef33 or t5,ra,sp + 148: 00000013 nop + 14c: 000f0313 mv t1,t5 + 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 154: 00200293 li t0,2 + 158: fc521ee3 bne tp,t0,134 + 15c: fff10eb7 lui t4,0xfff10 + 160: ff0e8e93 addi t4,t4,-16 # fff0fff0 + 164: 00a00193 li gp,10 + 168: 37d31063 bne t1,t4,4c8 -00000170 : - 170: 00000213 li tp,0 - 174: 00ff00b7 lui ra,0xff0 - 178: 0ff08093 addi ra,ra,255 # ff00ff - 17c: 0f0f1137 lui sp,0xf0f1 - 180: f0f10113 addi sp,sp,-241 # f0f0f0f - 184: 0020ef33 or t5,ra,sp +0000016c : + 16c: 00000213 li tp,0 + 170: 00ff00b7 lui ra,0xff0 + 174: 0ff08093 addi ra,ra,255 # ff00ff + 178: 0f0f1137 lui sp,0xf0f1 + 17c: f0f10113 addi sp,sp,-241 # f0f0f0f + 180: 0020ef33 or t5,ra,sp + 184: 00000013 nop 188: 00000013 nop - 18c: 00000013 nop - 190: 000f0313 mv t1,t5 - 194: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 198: 00200293 li t0,2 - 19c: fc521ce3 bne tp,t0,174 - 1a0: 0fff1eb7 lui t4,0xfff1 - 1a4: fffe8e93 addi t4,t4,-1 # fff0fff - 1a8: 00b00193 li gp,11 - 1ac: 33d31063 bne t1,t4,4cc + 18c: 000f0313 mv t1,t5 + 190: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 194: 00200293 li t0,2 + 198: fc521ce3 bne tp,t0,170 + 19c: 0fff1eb7 lui t4,0xfff1 + 1a0: fffe8e93 addi t4,t4,-1 # fff0fff + 1a4: 00b00193 li gp,11 + 1a8: 33d31063 bne t1,t4,4c8 -000001b0 : - 1b0: 00000213 li tp,0 - 1b4: ff0100b7 lui ra,0xff010 - 1b8: f0008093 addi ra,ra,-256 # ff00ff00 - 1bc: 0f0f1137 lui sp,0xf0f1 - 1c0: f0f10113 addi sp,sp,-241 # f0f0f0f - 1c4: 0020ef33 or t5,ra,sp - 1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1cc: 00200293 li t0,2 - 1d0: fe5212e3 bne tp,t0,1b4 - 1d4: ff100eb7 lui t4,0xff100 - 1d8: f0fe8e93 addi t4,t4,-241 # ff0fff0f - 1dc: 00c00193 li gp,12 - 1e0: 2fdf1663 bne t5,t4,4cc +000001ac : + 1ac: 00000213 li tp,0 + 1b0: ff0100b7 lui ra,0xff010 + 1b4: f0008093 addi ra,ra,-256 # ff00ff00 + 1b8: 0f0f1137 lui sp,0xf0f1 + 1bc: f0f10113 addi sp,sp,-241 # f0f0f0f + 1c0: 0020ef33 or t5,ra,sp + 1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c8: 00200293 li t0,2 + 1cc: fe5212e3 bne tp,t0,1b0 + 1d0: ff100eb7 lui t4,0xff100 + 1d4: f0fe8e93 addi t4,t4,-241 # ff0fff0f + 1d8: 00c00193 li gp,12 + 1dc: 2fdf1663 bne t5,t4,4c8 -000001e4 : - 1e4: 00000213 li tp,0 - 1e8: 0ff010b7 lui ra,0xff01 - 1ec: ff008093 addi ra,ra,-16 # ff00ff0 - 1f0: f0f0f137 lui sp,0xf0f0f - 1f4: 0f010113 addi sp,sp,240 # f0f0f0f0 - 1f8: 00000013 nop - 1fc: 0020ef33 or t5,ra,sp - 200: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 204: 00200293 li t0,2 - 208: fe5210e3 bne tp,t0,1e8 - 20c: fff10eb7 lui t4,0xfff10 - 210: ff0e8e93 addi t4,t4,-16 # fff0fff0 - 214: 00d00193 li gp,13 - 218: 2bdf1a63 bne t5,t4,4cc +000001e0 : + 1e0: 00000213 li tp,0 + 1e4: 0ff010b7 lui ra,0xff01 + 1e8: ff008093 addi ra,ra,-16 # ff00ff0 + 1ec: f0f0f137 lui sp,0xf0f0f + 1f0: 0f010113 addi sp,sp,240 # f0f0f0f0 + 1f4: 00000013 nop + 1f8: 0020ef33 or t5,ra,sp + 1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 200: 00200293 li t0,2 + 204: fe5210e3 bne tp,t0,1e4 + 208: fff10eb7 lui t4,0xfff10 + 20c: ff0e8e93 addi t4,t4,-16 # fff0fff0 + 210: 00d00193 li gp,13 + 214: 2bdf1a63 bne t5,t4,4c8 -0000021c : - 21c: 00000213 li tp,0 - 220: 00ff00b7 lui ra,0xff0 - 224: 0ff08093 addi ra,ra,255 # ff00ff - 228: 0f0f1137 lui sp,0xf0f1 - 22c: f0f10113 addi sp,sp,-241 # f0f0f0f +00000218 : + 218: 00000213 li tp,0 + 21c: 00ff00b7 lui ra,0xff0 + 220: 0ff08093 addi ra,ra,255 # ff00ff + 224: 0f0f1137 lui sp,0xf0f1 + 228: f0f10113 addi sp,sp,-241 # f0f0f0f + 22c: 00000013 nop 230: 00000013 nop - 234: 00000013 nop - 238: 0020ef33 or t5,ra,sp - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fc521ee3 bne tp,t0,220 - 248: 0fff1eb7 lui t4,0xfff1 - 24c: fffe8e93 addi t4,t4,-1 # fff0fff - 250: 00e00193 li gp,14 - 254: 27df1c63 bne t5,t4,4cc + 234: 0020ef33 or t5,ra,sp + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fc521ee3 bne tp,t0,21c + 244: 0fff1eb7 lui t4,0xfff1 + 248: fffe8e93 addi t4,t4,-1 # fff0fff + 24c: 00e00193 li gp,14 + 250: 27df1c63 bne t5,t4,4c8 -00000258 : - 258: 00000213 li tp,0 - 25c: ff0100b7 lui ra,0xff010 - 260: f0008093 addi ra,ra,-256 # ff00ff00 - 264: 00000013 nop - 268: 0f0f1137 lui sp,0xf0f1 - 26c: f0f10113 addi sp,sp,-241 # f0f0f0f - 270: 0020ef33 or t5,ra,sp - 274: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 278: 00200293 li t0,2 - 27c: fe5210e3 bne tp,t0,25c - 280: ff100eb7 lui t4,0xff100 - 284: f0fe8e93 addi t4,t4,-241 # ff0fff0f - 288: 00f00193 li gp,15 - 28c: 25df1063 bne t5,t4,4cc +00000254 : + 254: 00000213 li tp,0 + 258: ff0100b7 lui ra,0xff010 + 25c: f0008093 addi ra,ra,-256 # ff00ff00 + 260: 00000013 nop + 264: 0f0f1137 lui sp,0xf0f1 + 268: f0f10113 addi sp,sp,-241 # f0f0f0f + 26c: 0020ef33 or t5,ra,sp + 270: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 274: 00200293 li t0,2 + 278: fe5210e3 bne tp,t0,258 + 27c: ff100eb7 lui t4,0xff100 + 280: f0fe8e93 addi t4,t4,-241 # ff0fff0f + 284: 00f00193 li gp,15 + 288: 25df1063 bne t5,t4,4c8 -00000290 : - 290: 00000213 li tp,0 - 294: 0ff010b7 lui ra,0xff01 - 298: ff008093 addi ra,ra,-16 # ff00ff0 - 29c: 00000013 nop - 2a0: f0f0f137 lui sp,0xf0f0f - 2a4: 0f010113 addi sp,sp,240 # f0f0f0f0 - 2a8: 00000013 nop - 2ac: 0020ef33 or t5,ra,sp - 2b0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2b4: 00200293 li t0,2 - 2b8: fc521ee3 bne tp,t0,294 - 2bc: fff10eb7 lui t4,0xfff10 - 2c0: ff0e8e93 addi t4,t4,-16 # fff0fff0 - 2c4: 01000193 li gp,16 - 2c8: 21df1263 bne t5,t4,4cc +0000028c : + 28c: 00000213 li tp,0 + 290: 0ff010b7 lui ra,0xff01 + 294: ff008093 addi ra,ra,-16 # ff00ff0 + 298: 00000013 nop + 29c: f0f0f137 lui sp,0xf0f0f + 2a0: 0f010113 addi sp,sp,240 # f0f0f0f0 + 2a4: 00000013 nop + 2a8: 0020ef33 or t5,ra,sp + 2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2b0: 00200293 li t0,2 + 2b4: fc521ee3 bne tp,t0,290 + 2b8: fff10eb7 lui t4,0xfff10 + 2bc: ff0e8e93 addi t4,t4,-16 # fff0fff0 + 2c0: 01000193 li gp,16 + 2c4: 21df1263 bne t5,t4,4c8 -000002cc : - 2cc: 00000213 li tp,0 - 2d0: 00ff00b7 lui ra,0xff0 - 2d4: 0ff08093 addi ra,ra,255 # ff00ff +000002c8 : + 2c8: 00000213 li tp,0 + 2cc: 00ff00b7 lui ra,0xff0 + 2d0: 0ff08093 addi ra,ra,255 # ff00ff + 2d4: 00000013 nop 2d8: 00000013 nop - 2dc: 00000013 nop - 2e0: 0f0f1137 lui sp,0xf0f1 - 2e4: f0f10113 addi sp,sp,-241 # f0f0f0f - 2e8: 0020ef33 or t5,ra,sp - 2ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f0: 00200293 li t0,2 - 2f4: fc521ee3 bne tp,t0,2d0 - 2f8: 0fff1eb7 lui t4,0xfff1 - 2fc: fffe8e93 addi t4,t4,-1 # fff0fff - 300: 01100193 li gp,17 - 304: 1ddf1463 bne t5,t4,4cc + 2dc: 0f0f1137 lui sp,0xf0f1 + 2e0: f0f10113 addi sp,sp,-241 # f0f0f0f + 2e4: 0020ef33 or t5,ra,sp + 2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2ec: 00200293 li t0,2 + 2f0: fc521ee3 bne tp,t0,2cc + 2f4: 0fff1eb7 lui t4,0xfff1 + 2f8: fffe8e93 addi t4,t4,-1 # fff0fff + 2fc: 01100193 li gp,17 + 300: 1ddf1463 bne t5,t4,4c8 -00000308 : - 308: 00000213 li tp,0 - 30c: 0f0f1137 lui sp,0xf0f1 - 310: f0f10113 addi sp,sp,-241 # f0f0f0f - 314: ff0100b7 lui ra,0xff010 - 318: f0008093 addi ra,ra,-256 # ff00ff00 - 31c: 0020ef33 or t5,ra,sp - 320: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 324: 00200293 li t0,2 - 328: fe5212e3 bne tp,t0,30c - 32c: ff100eb7 lui t4,0xff100 - 330: f0fe8e93 addi t4,t4,-241 # ff0fff0f - 334: 01200193 li gp,18 - 338: 19df1a63 bne t5,t4,4cc +00000304 : + 304: 00000213 li tp,0 + 308: 0f0f1137 lui sp,0xf0f1 + 30c: f0f10113 addi sp,sp,-241 # f0f0f0f + 310: ff0100b7 lui ra,0xff010 + 314: f0008093 addi ra,ra,-256 # ff00ff00 + 318: 0020ef33 or t5,ra,sp + 31c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 320: 00200293 li t0,2 + 324: fe5212e3 bne tp,t0,308 + 328: ff100eb7 lui t4,0xff100 + 32c: f0fe8e93 addi t4,t4,-241 # ff0fff0f + 330: 01200193 li gp,18 + 334: 19df1a63 bne t5,t4,4c8 -0000033c : - 33c: 00000213 li tp,0 - 340: f0f0f137 lui sp,0xf0f0f - 344: 0f010113 addi sp,sp,240 # f0f0f0f0 - 348: 0ff010b7 lui ra,0xff01 - 34c: ff008093 addi ra,ra,-16 # ff00ff0 - 350: 00000013 nop - 354: 0020ef33 or t5,ra,sp - 358: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 35c: 00200293 li t0,2 - 360: fe5210e3 bne tp,t0,340 - 364: fff10eb7 lui t4,0xfff10 - 368: ff0e8e93 addi t4,t4,-16 # fff0fff0 - 36c: 01300193 li gp,19 - 370: 15df1e63 bne t5,t4,4cc +00000338 : + 338: 00000213 li tp,0 + 33c: f0f0f137 lui sp,0xf0f0f + 340: 0f010113 addi sp,sp,240 # f0f0f0f0 + 344: 0ff010b7 lui ra,0xff01 + 348: ff008093 addi ra,ra,-16 # ff00ff0 + 34c: 00000013 nop + 350: 0020ef33 or t5,ra,sp + 354: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 358: 00200293 li t0,2 + 35c: fe5210e3 bne tp,t0,33c + 360: fff10eb7 lui t4,0xfff10 + 364: ff0e8e93 addi t4,t4,-16 # fff0fff0 + 368: 01300193 li gp,19 + 36c: 15df1e63 bne t5,t4,4c8 -00000374 : - 374: 00000213 li tp,0 - 378: 0f0f1137 lui sp,0xf0f1 - 37c: f0f10113 addi sp,sp,-241 # f0f0f0f - 380: 00ff00b7 lui ra,0xff0 - 384: 0ff08093 addi ra,ra,255 # ff00ff +00000370 : + 370: 00000213 li tp,0 + 374: 0f0f1137 lui sp,0xf0f1 + 378: f0f10113 addi sp,sp,-241 # f0f0f0f + 37c: 00ff00b7 lui ra,0xff0 + 380: 0ff08093 addi ra,ra,255 # ff00ff + 384: 00000013 nop 388: 00000013 nop - 38c: 00000013 nop - 390: 0020ef33 or t5,ra,sp - 394: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 398: 00200293 li t0,2 - 39c: fc521ee3 bne tp,t0,378 - 3a0: 0fff1eb7 lui t4,0xfff1 - 3a4: fffe8e93 addi t4,t4,-1 # fff0fff - 3a8: 01400193 li gp,20 - 3ac: 13df1063 bne t5,t4,4cc + 38c: 0020ef33 or t5,ra,sp + 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 394: 00200293 li t0,2 + 398: fc521ee3 bne tp,t0,374 + 39c: 0fff1eb7 lui t4,0xfff1 + 3a0: fffe8e93 addi t4,t4,-1 # fff0fff + 3a4: 01400193 li gp,20 + 3a8: 13df1063 bne t5,t4,4c8 -000003b0 : - 3b0: 00000213 li tp,0 - 3b4: 0f0f1137 lui sp,0xf0f1 - 3b8: f0f10113 addi sp,sp,-241 # f0f0f0f - 3bc: 00000013 nop - 3c0: ff0100b7 lui ra,0xff010 - 3c4: f0008093 addi ra,ra,-256 # ff00ff00 - 3c8: 0020ef33 or t5,ra,sp - 3cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3d0: 00200293 li t0,2 - 3d4: fe5210e3 bne tp,t0,3b4 - 3d8: ff100eb7 lui t4,0xff100 - 3dc: f0fe8e93 addi t4,t4,-241 # ff0fff0f - 3e0: 01500193 li gp,21 - 3e4: 0fdf1463 bne t5,t4,4cc +000003ac : + 3ac: 00000213 li tp,0 + 3b0: 0f0f1137 lui sp,0xf0f1 + 3b4: f0f10113 addi sp,sp,-241 # f0f0f0f + 3b8: 00000013 nop + 3bc: ff0100b7 lui ra,0xff010 + 3c0: f0008093 addi ra,ra,-256 # ff00ff00 + 3c4: 0020ef33 or t5,ra,sp + 3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3cc: 00200293 li t0,2 + 3d0: fe5210e3 bne tp,t0,3b0 + 3d4: ff100eb7 lui t4,0xff100 + 3d8: f0fe8e93 addi t4,t4,-241 # ff0fff0f + 3dc: 01500193 li gp,21 + 3e0: 0fdf1463 bne t5,t4,4c8 -000003e8 : - 3e8: 00000213 li tp,0 - 3ec: f0f0f137 lui sp,0xf0f0f - 3f0: 0f010113 addi sp,sp,240 # f0f0f0f0 - 3f4: 00000013 nop - 3f8: 0ff010b7 lui ra,0xff01 - 3fc: ff008093 addi ra,ra,-16 # ff00ff0 - 400: 00000013 nop - 404: 0020ef33 or t5,ra,sp - 408: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 40c: 00200293 li t0,2 - 410: fc521ee3 bne tp,t0,3ec - 414: fff10eb7 lui t4,0xfff10 - 418: ff0e8e93 addi t4,t4,-16 # fff0fff0 - 41c: 01600193 li gp,22 - 420: 0bdf1663 bne t5,t4,4cc +000003e4 : + 3e4: 00000213 li tp,0 + 3e8: f0f0f137 lui sp,0xf0f0f + 3ec: 0f010113 addi sp,sp,240 # f0f0f0f0 + 3f0: 00000013 nop + 3f4: 0ff010b7 lui ra,0xff01 + 3f8: ff008093 addi ra,ra,-16 # ff00ff0 + 3fc: 00000013 nop + 400: 0020ef33 or t5,ra,sp + 404: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 408: 00200293 li t0,2 + 40c: fc521ee3 bne tp,t0,3e8 + 410: fff10eb7 lui t4,0xfff10 + 414: ff0e8e93 addi t4,t4,-16 # fff0fff0 + 418: 01600193 li gp,22 + 41c: 0bdf1663 bne t5,t4,4c8 -00000424 : - 424: 00000213 li tp,0 - 428: 0f0f1137 lui sp,0xf0f1 - 42c: f0f10113 addi sp,sp,-241 # f0f0f0f +00000420 : + 420: 00000213 li tp,0 + 424: 0f0f1137 lui sp,0xf0f1 + 428: f0f10113 addi sp,sp,-241 # f0f0f0f + 42c: 00000013 nop 430: 00000013 nop - 434: 00000013 nop - 438: 00ff00b7 lui ra,0xff0 - 43c: 0ff08093 addi ra,ra,255 # ff00ff - 440: 0020ef33 or t5,ra,sp - 444: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 448: 00200293 li t0,2 - 44c: fc521ee3 bne tp,t0,428 - 450: 0fff1eb7 lui t4,0xfff1 - 454: fffe8e93 addi t4,t4,-1 # fff0fff - 458: 01700193 li gp,23 - 45c: 07df1863 bne t5,t4,4cc + 434: 00ff00b7 lui ra,0xff0 + 438: 0ff08093 addi ra,ra,255 # ff00ff + 43c: 0020ef33 or t5,ra,sp + 440: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 444: 00200293 li t0,2 + 448: fc521ee3 bne tp,t0,424 + 44c: 0fff1eb7 lui t4,0xfff1 + 450: fffe8e93 addi t4,t4,-1 # fff0fff + 454: 01700193 li gp,23 + 458: 07df1863 bne t5,t4,4c8 -00000460 : - 460: ff0100b7 lui ra,0xff010 - 464: f0008093 addi ra,ra,-256 # ff00ff00 - 468: 00106133 or sp,zero,ra - 46c: ff010eb7 lui t4,0xff010 - 470: f00e8e93 addi t4,t4,-256 # ff00ff00 - 474: 01800193 li gp,24 - 478: 05d11a63 bne sp,t4,4cc +0000045c : + 45c: ff0100b7 lui ra,0xff010 + 460: f0008093 addi ra,ra,-256 # ff00ff00 + 464: 00106133 or sp,zero,ra + 468: ff010eb7 lui t4,0xff010 + 46c: f00e8e93 addi t4,t4,-256 # ff00ff00 + 470: 01800193 li gp,24 + 474: 05d11a63 bne sp,t4,4c8 -0000047c : - 47c: 00ff00b7 lui ra,0xff0 - 480: 0ff08093 addi ra,ra,255 # ff00ff - 484: 0000e133 or sp,ra,zero - 488: 00ff0eb7 lui t4,0xff0 - 48c: 0ffe8e93 addi t4,t4,255 # ff00ff - 490: 01900193 li gp,25 - 494: 03d11c63 bne sp,t4,4cc +00000478 : + 478: 00ff00b7 lui ra,0xff0 + 47c: 0ff08093 addi ra,ra,255 # ff00ff + 480: 0000e133 or sp,ra,zero + 484: 00ff0eb7 lui t4,0xff0 + 488: 0ffe8e93 addi t4,t4,255 # ff00ff + 48c: 01900193 li gp,25 + 490: 03d11c63 bne sp,t4,4c8 -00000498 : - 498: 000060b3 or ra,zero,zero - 49c: 00000e93 li t4,0 - 4a0: 01a00193 li gp,26 - 4a4: 03d09463 bne ra,t4,4cc +00000494 : + 494: 000060b3 or ra,zero,zero + 498: 00000e93 li t4,0 + 49c: 01a00193 li gp,26 + 4a0: 03d09463 bne ra,t4,4c8 -000004a8 : - 4a8: 111110b7 lui ra,0x11111 - 4ac: 11108093 addi ra,ra,273 # 11111111 - 4b0: 22222137 lui sp,0x22222 - 4b4: 22210113 addi sp,sp,546 # 22222222 - 4b8: 0020e033 or zero,ra,sp - 4bc: 00000e93 li t4,0 - 4c0: 01b00193 li gp,27 - 4c4: 01d01463 bne zero,t4,4cc - 4c8: 00301863 bne zero,gp,4d8 +000004a4 : + 4a4: 111110b7 lui ra,0x11111 + 4a8: 11108093 addi ra,ra,273 # 11111111 + 4ac: 22222137 lui sp,0x22222 + 4b0: 22210113 addi sp,sp,546 # 22222222 + 4b4: 0020e033 or zero,ra,sp + 4b8: 00000e93 li t4,0 + 4bc: 01b00193 li gp,27 + 4c0: 01d01463 bne zero,t4,4c8 + 4c4: 00301663 bne zero,gp,4d0 -000004cc : - 4cc: 00000d93 li s11,0 - 4d0: 00100d13 li s10,1 +000004c8 : + 4c8: 1000d073 csrwi sstatus,1 -000004d4 : - 4d4: 0000006f j 4d4 +000004cc : + 4cc: 0000006f j 4cc -000004d8 : - 4d8: 00100d93 li s11,1 - 4dc: 00100d13 li s10,1 +000004d0 : + 4d0: 1001d073 csrwi sstatus,3 -000004e0 : - 4e0: 0000006f j 4e0 +000004d4 : + 4d4: 0000006f j 4d4 ... Disassembly of section .tohost: @@ -391,14 +388,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-or.mem b/tests/isa/generated/rv32ui-p-or.mem index 701ecae..93bb0db 100644 --- a/tests/isa/generated/rv32ui-p-or.mem +++ b/tests/isa/generated/rv32ui-p-or.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 ff0100b7 f0008093 0f0f1137 @@ -304,12 +303,10 @@ f00e8e93 00000e93 01b00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ f00e8e93 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-or.verilog b/tests/isa/generated/rv32ui-p-or.verilog index 14a9111..3e5ddb4 100755 --- a/tests/isa/generated/rv32ui-p-or.verilog +++ b/tests/isa/generated/rv32ui-p-or.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 EF 20 00 B7 0E 10 FF -93 8E FE F0 93 01 20 00 63 12 DF 4B B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 EF 20 00 -B7 0E F1 FF 93 8E 0E FF 93 01 30 00 63 10 DF 49 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 B7 1E FF 0F 93 8E FE FF 93 01 40 00 -63 1E DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 EF 20 00 B7 FE FF F0 93 8E FE 0F -93 01 50 00 63 1C DF 43 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 B3 E0 20 00 B7 0E 10 FF -93 8E FE F0 93 01 60 00 63 9A D0 41 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 E1 20 00 -B7 0E 10 FF 93 8E FE F0 93 01 70 00 63 18 D1 3F -B7 00 01 FF 93 80 00 F0 B3 E0 10 00 B7 0E 01 FF -93 8E 0E F0 93 01 80 00 63 9A D0 3D 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E 10 FF 93 8E FE F0 93 01 90 00 -63 1E D3 39 13 02 00 00 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 33 EF 20 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E F1 FF 93 8E 0E FF 93 01 A0 00 63 10 D3 37 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 37 11 0F 0F -13 01 F1 F0 33 EF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 1C 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 B0 00 63 10 D3 33 -13 02 00 00 B7 00 01 FF 93 80 00 F0 37 11 0F 0F -13 01 F1 F0 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 10 FF 93 8E FE F0 93 01 C0 00 -63 16 DF 2F 13 02 00 00 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F1 FF -93 8E 0E FF 93 01 D0 00 63 1A DF 2B 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -13 00 00 00 13 00 00 00 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF -93 01 E0 00 63 1C DF 27 13 02 00 00 B7 00 01 FF -93 80 00 F0 13 00 00 00 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E 10 FF 93 8E FE F0 93 01 F0 00 63 10 DF 25 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 +73 50 00 10 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 33 EF 20 00 B7 0E 10 FF 93 8E FE F0 +93 01 20 00 63 12 DF 4B B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 EF 20 00 B7 0E F1 FF +93 8E 0E FF 93 01 30 00 63 10 DF 49 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 EF 20 00 +B7 1E FF 0F 93 8E FE FF 93 01 40 00 63 1E DF 45 +B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 13 01 01 0F +33 EF 20 00 B7 FE FF F0 93 8E FE 0F 93 01 50 00 +63 1C DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 B3 E0 20 00 B7 0E 10 FF 93 8E FE F0 +93 01 60 00 63 9A D0 41 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 E1 20 00 B7 0E 10 FF +93 8E FE F0 93 01 70 00 63 18 D1 3F B7 00 01 FF +93 80 00 F0 B3 E0 10 00 B7 0E 01 FF 93 8E 0E F0 +93 01 80 00 63 9A D0 3D 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 EF 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E 10 FF 93 8E FE F0 93 01 90 00 63 1E D3 39 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 33 EF 20 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F1 FF -93 8E 0E FF 93 01 00 01 63 12 DF 21 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 -37 11 0F 0F 13 01 F1 F0 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF -93 01 10 01 63 14 DF 1D 13 02 00 00 37 11 0F 0F -13 01 F1 F0 B7 00 01 FF 93 80 00 F0 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E 10 FF -93 8E FE F0 93 01 20 01 63 1A DF 19 13 02 00 00 -37 F1 F0 F0 13 01 01 0F B7 10 F0 0F 93 80 00 FF +93 8E 0E FF 93 01 A0 00 63 10 D3 37 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1C 52 FC B7 1E FF 0F +93 8E FE FF 93 01 B0 00 63 10 D3 33 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E 10 FF 93 8E FE F0 93 01 C0 00 63 16 DF 2F +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E F1 FF 93 8E 0E FF +93 01 D0 00 63 1A DF 2B 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E F1 FF 93 8E 0E FF 93 01 30 01 -63 1E DF 15 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 -33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 40 01 63 10 DF 13 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +E3 1E 52 FC B7 1E FF 0F 93 8E FE FF 93 01 E0 00 +63 1C DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 +13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E 10 FF +93 8E FE F0 93 01 F0 00 63 10 DF 25 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E F1 FF 93 8E 0E FF +93 01 00 01 63 12 DF 21 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F +13 01 F1 F0 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 1E FF 0F 93 8E FE FF 93 01 10 01 +63 14 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 01 FF 93 80 00 F0 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E 10 FF 93 8E FE F0 -93 01 50 01 63 14 DF 0F 13 02 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 B7 10 F0 0F 93 80 00 FF -13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E F1 FF 93 8E 0E FF 93 01 60 01 -63 16 DF 0B 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -13 00 00 00 13 00 00 00 B7 00 FF 00 93 80 F0 0F +93 02 20 00 E3 12 52 FE B7 0E 10 FF 93 8E FE F0 +93 01 20 01 63 1A DF 19 13 02 00 00 37 F1 F0 F0 +13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 EF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E F1 FF 93 8E 0E FF 93 01 30 01 63 1E DF 15 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E FF 0F +93 8E FE FF 93 01 40 01 63 10 DF 13 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF +93 80 00 F0 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E 10 FF 93 8E FE F0 93 01 50 01 +63 14 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 70 01 63 18 DF 07 -B7 00 01 FF 93 80 00 F0 33 61 10 00 B7 0E 01 FF -93 8E 0E F0 93 01 80 01 63 1A D1 05 B7 00 FF 00 -93 80 F0 0F 33 E1 00 00 B7 0E FF 00 93 8E FE 0F -93 01 90 01 63 1C D1 03 B3 60 00 00 93 0E 00 00 -93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11 -37 21 22 22 13 01 21 22 33 E0 20 00 93 0E 00 00 -93 01 B0 01 63 14 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +B7 0E F1 FF 93 8E 0E FF 93 01 60 01 63 16 DF 0B +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E FF 0F +93 8E FE FF 93 01 70 01 63 18 DF 07 B7 00 01 FF +93 80 00 F0 33 61 10 00 B7 0E 01 FF 93 8E 0E F0 +93 01 80 01 63 1A D1 05 B7 00 FF 00 93 80 F0 0F +33 E1 00 00 B7 0E FF 00 93 8E FE 0F 93 01 90 01 +63 1C D1 03 B3 60 00 00 93 0E 00 00 93 01 A0 01 +63 94 D0 03 B7 10 11 11 93 80 10 11 37 21 22 22 +13 01 21 22 33 E0 20 00 93 0E 00 00 93 01 B0 01 +63 14 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32ui-p-ori b/tests/isa/generated/rv32ui-p-ori index 38d982d4a663e728ec4356d7872123e326879384..59adde29827329da6c7d46512421205e92d4a9a9 100755 GIT binary patch delta 380 zcmeyN^G9cb0%OWX#rym$#Q_Wgn>hq-G75+pFce>45Xfg>0Md*C`4bao%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$p?fi>REs?5WvV#2xL59MDRhnWMEtdMg}P$ z+X9KN2xNyK@il<#0wlgZkllmCHv_WwAn|P(80r~5APKkt*&0X=@B#8ekoX|W8j$!g zK>ijaehQF(1c_e_WmqaErF!csb&wq31LQ{_@k4<879@TQ zkiP?op918cK;l;e`5%z@IY9m!Bz_qKGXukq$$y3O*vvD{{LK9}7mCy~GD=PUD5}i3 YWU{E3I%CRYTQPN3IZ*}%2cW1h0C@N>r2qf` diff --git a/tests/isa/generated/rv32ui-p-ori.bin b/tests/isa/generated/rv32ui-p-ori.bin index dc6736468d9b51f06c24b2a9b125c9d537810423..3b511a7586a205bcc9f67df8275c4300e9d6d8ed 100755 GIT binary patch delta 38 scmeBR?O: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: ff0100b7 lui ra,0xff010 - c: f0008093 addi ra,ra,-256 # ff00ff00 - 10: f0f0ef13 ori t5,ra,-241 - 14: f0f00e93 li t4,-241 - 18: 00200193 li gp,2 - 1c: 1ddf1463 bne t5,t4,1e4 +00000004 : + 4: ff0100b7 lui ra,0xff010 + 8: f0008093 addi ra,ra,-256 # ff00ff00 + c: f0f0ef13 ori t5,ra,-241 + 10: f0f00e93 li t4,-241 + 14: 00200193 li gp,2 + 18: 1ddf1463 bne t5,t4,1e0 -00000020 : - 20: 0ff010b7 lui ra,0xff01 - 24: ff008093 addi ra,ra,-16 # ff00ff0 - 28: 0f00ef13 ori t5,ra,240 - 2c: 0ff01eb7 lui t4,0xff01 - 30: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 34: 00300193 li gp,3 - 38: 1bdf1663 bne t5,t4,1e4 +0000001c : + 1c: 0ff010b7 lui ra,0xff01 + 20: ff008093 addi ra,ra,-16 # ff00ff0 + 24: 0f00ef13 ori t5,ra,240 + 28: 0ff01eb7 lui t4,0xff01 + 2c: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 30: 00300193 li gp,3 + 34: 1bdf1663 bne t5,t4,1e0 -0000003c : - 3c: 00ff00b7 lui ra,0xff0 - 40: 0ff08093 addi ra,ra,255 # ff00ff - 44: 70f0ef13 ori t5,ra,1807 - 48: 00ff0eb7 lui t4,0xff0 - 4c: 7ffe8e93 addi t4,t4,2047 # ff07ff - 50: 00400193 li gp,4 - 54: 19df1863 bne t5,t4,1e4 +00000038 : + 38: 00ff00b7 lui ra,0xff0 + 3c: 0ff08093 addi ra,ra,255 # ff00ff + 40: 70f0ef13 ori t5,ra,1807 + 44: 00ff0eb7 lui t4,0xff0 + 48: 7ffe8e93 addi t4,t4,2047 # ff07ff + 4c: 00400193 li gp,4 + 50: 19df1863 bne t5,t4,1e0 -00000058 : - 58: f00ff0b7 lui ra,0xf00ff - 5c: 00f08093 addi ra,ra,15 # f00ff00f - 60: 0f00ef13 ori t5,ra,240 - 64: f00ffeb7 lui t4,0xf00ff - 68: 0ffe8e93 addi t4,t4,255 # f00ff0ff - 6c: 00500193 li gp,5 - 70: 17df1a63 bne t5,t4,1e4 +00000054 : + 54: f00ff0b7 lui ra,0xf00ff + 58: 00f08093 addi ra,ra,15 # f00ff00f + 5c: 0f00ef13 ori t5,ra,240 + 60: f00ffeb7 lui t4,0xf00ff + 64: 0ffe8e93 addi t4,t4,255 # f00ff0ff + 68: 00500193 li gp,5 + 6c: 17df1a63 bne t5,t4,1e0 -00000074 : - 74: ff0100b7 lui ra,0xff010 - 78: f0008093 addi ra,ra,-256 # ff00ff00 - 7c: 0f00e093 ori ra,ra,240 - 80: ff010eb7 lui t4,0xff010 - 84: ff0e8e93 addi t4,t4,-16 # ff00fff0 - 88: 00600193 li gp,6 - 8c: 15d09c63 bne ra,t4,1e4 +00000070 : + 70: ff0100b7 lui ra,0xff010 + 74: f0008093 addi ra,ra,-256 # ff00ff00 + 78: 0f00e093 ori ra,ra,240 + 7c: ff010eb7 lui t4,0xff010 + 80: ff0e8e93 addi t4,t4,-16 # ff00fff0 + 84: 00600193 li gp,6 + 88: 15d09c63 bne ra,t4,1e0 -00000090 : - 90: 00000213 li tp,0 - 94: 0ff010b7 lui ra,0xff01 - 98: ff008093 addi ra,ra,-16 # ff00ff0 - 9c: 0f00ef13 ori t5,ra,240 - a0: 000f0313 mv t1,t5 - a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - a8: 00200293 li t0,2 - ac: fe5214e3 bne tp,t0,94 - b0: 0ff01eb7 lui t4,0xff01 - b4: ff0e8e93 addi t4,t4,-16 # ff00ff0 - b8: 00700193 li gp,7 - bc: 13d31463 bne t1,t4,1e4 +0000008c : + 8c: 00000213 li tp,0 + 90: 0ff010b7 lui ra,0xff01 + 94: ff008093 addi ra,ra,-16 # ff00ff0 + 98: 0f00ef13 ori t5,ra,240 + 9c: 000f0313 mv t1,t5 + a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + a4: 00200293 li t0,2 + a8: fe5214e3 bne tp,t0,90 + ac: 0ff01eb7 lui t4,0xff01 + b0: ff0e8e93 addi t4,t4,-16 # ff00ff0 + b4: 00700193 li gp,7 + b8: 13d31463 bne t1,t4,1e0 -000000c0 : - c0: 00000213 li tp,0 - c4: 00ff00b7 lui ra,0xff0 - c8: 0ff08093 addi ra,ra,255 # ff00ff - cc: 70f0ef13 ori t5,ra,1807 - d0: 00000013 nop - d4: 000f0313 mv t1,t5 - d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - dc: 00200293 li t0,2 - e0: fe5212e3 bne tp,t0,c4 - e4: 00ff0eb7 lui t4,0xff0 - e8: 7ffe8e93 addi t4,t4,2047 # ff07ff - ec: 00800193 li gp,8 - f0: 0fd31a63 bne t1,t4,1e4 +000000bc : + bc: 00000213 li tp,0 + c0: 00ff00b7 lui ra,0xff0 + c4: 0ff08093 addi ra,ra,255 # ff00ff + c8: 70f0ef13 ori t5,ra,1807 + cc: 00000013 nop + d0: 000f0313 mv t1,t5 + d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + d8: 00200293 li t0,2 + dc: fe5212e3 bne tp,t0,c0 + e0: 00ff0eb7 lui t4,0xff0 + e4: 7ffe8e93 addi t4,t4,2047 # ff07ff + e8: 00800193 li gp,8 + ec: 0fd31a63 bne t1,t4,1e0 -000000f4 : - f4: 00000213 li tp,0 - f8: f00ff0b7 lui ra,0xf00ff - fc: 00f08093 addi ra,ra,15 # f00ff00f - 100: 0f00ef13 ori t5,ra,240 +000000f0 : + f0: 00000213 li tp,0 + f4: f00ff0b7 lui ra,0xf00ff + f8: 00f08093 addi ra,ra,15 # f00ff00f + fc: 0f00ef13 ori t5,ra,240 + 100: 00000013 nop 104: 00000013 nop - 108: 00000013 nop - 10c: 000f0313 mv t1,t5 - 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 114: 00200293 li t0,2 - 118: fe5210e3 bne tp,t0,f8 - 11c: f00ffeb7 lui t4,0xf00ff - 120: 0ffe8e93 addi t4,t4,255 # f00ff0ff - 124: 00900193 li gp,9 - 128: 0bd31e63 bne t1,t4,1e4 + 108: 000f0313 mv t1,t5 + 10c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 110: 00200293 li t0,2 + 114: fe5210e3 bne tp,t0,f4 + 118: f00ffeb7 lui t4,0xf00ff + 11c: 0ffe8e93 addi t4,t4,255 # f00ff0ff + 120: 00900193 li gp,9 + 124: 0bd31e63 bne t1,t4,1e0 -0000012c : - 12c: 00000213 li tp,0 - 130: 0ff010b7 lui ra,0xff01 - 134: ff008093 addi ra,ra,-16 # ff00ff0 - 138: 0f00ef13 ori t5,ra,240 - 13c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 140: 00200293 li t0,2 - 144: fe5216e3 bne tp,t0,130 - 148: 0ff01eb7 lui t4,0xff01 - 14c: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 150: 00a00193 li gp,10 - 154: 09df1863 bne t5,t4,1e4 +00000128 : + 128: 00000213 li tp,0 + 12c: 0ff010b7 lui ra,0xff01 + 130: ff008093 addi ra,ra,-16 # ff00ff0 + 134: 0f00ef13 ori t5,ra,240 + 138: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 13c: 00200293 li t0,2 + 140: fe5216e3 bne tp,t0,12c + 144: 0ff01eb7 lui t4,0xff01 + 148: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 14c: 00a00193 li gp,10 + 150: 09df1863 bne t5,t4,1e0 -00000158 : - 158: 00000213 li tp,0 - 15c: 00ff00b7 lui ra,0xff0 - 160: 0ff08093 addi ra,ra,255 # ff00ff - 164: 00000013 nop - 168: f0f0ef13 ori t5,ra,-241 - 16c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 170: 00200293 li t0,2 - 174: fe5214e3 bne tp,t0,15c - 178: fff00e93 li t4,-1 - 17c: 00b00193 li gp,11 - 180: 07df1263 bne t5,t4,1e4 +00000154 : + 154: 00000213 li tp,0 + 158: 00ff00b7 lui ra,0xff0 + 15c: 0ff08093 addi ra,ra,255 # ff00ff + 160: 00000013 nop + 164: f0f0ef13 ori t5,ra,-241 + 168: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 16c: 00200293 li t0,2 + 170: fe5214e3 bne tp,t0,158 + 174: fff00e93 li t4,-1 + 178: 00b00193 li gp,11 + 17c: 07df1263 bne t5,t4,1e0 -00000184 : - 184: 00000213 li tp,0 - 188: f00ff0b7 lui ra,0xf00ff - 18c: 00f08093 addi ra,ra,15 # f00ff00f +00000180 : + 180: 00000213 li tp,0 + 184: f00ff0b7 lui ra,0xf00ff + 188: 00f08093 addi ra,ra,15 # f00ff00f + 18c: 00000013 nop 190: 00000013 nop - 194: 00000013 nop - 198: 0f00ef13 ori t5,ra,240 - 19c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a0: 00200293 li t0,2 - 1a4: fe5212e3 bne tp,t0,188 - 1a8: f00ffeb7 lui t4,0xf00ff - 1ac: 0ffe8e93 addi t4,t4,255 # f00ff0ff - 1b0: 00c00193 li gp,12 - 1b4: 03df1863 bne t5,t4,1e4 + 194: 0f00ef13 ori t5,ra,240 + 198: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 19c: 00200293 li t0,2 + 1a0: fe5212e3 bne tp,t0,184 + 1a4: f00ffeb7 lui t4,0xf00ff + 1a8: 0ffe8e93 addi t4,t4,255 # f00ff0ff + 1ac: 00c00193 li gp,12 + 1b0: 03df1863 bne t5,t4,1e0 -000001b8 : - 1b8: 0f006093 ori ra,zero,240 - 1bc: 0f000e93 li t4,240 - 1c0: 00d00193 li gp,13 - 1c4: 03d09063 bne ra,t4,1e4 +000001b4 : + 1b4: 0f006093 ori ra,zero,240 + 1b8: 0f000e93 li t4,240 + 1bc: 00d00193 li gp,13 + 1c0: 03d09063 bne ra,t4,1e0 -000001c8 : - 1c8: 00ff00b7 lui ra,0xff0 - 1cc: 0ff08093 addi ra,ra,255 # ff00ff - 1d0: 70f0e013 ori zero,ra,1807 - 1d4: 00000e93 li t4,0 - 1d8: 00e00193 li gp,14 - 1dc: 01d01463 bne zero,t4,1e4 - 1e0: 00301863 bne zero,gp,1f0 +000001c4 : + 1c4: 00ff00b7 lui ra,0xff0 + 1c8: 0ff08093 addi ra,ra,255 # ff00ff + 1cc: 70f0e013 ori zero,ra,1807 + 1d0: 00000e93 li t4,0 + 1d4: 00e00193 li gp,14 + 1d8: 01d01463 bne zero,t4,1e0 + 1dc: 00301663 bne zero,gp,1e8 -000001e4 : - 1e4: 00000d93 li s11,0 - 1e8: 00100d13 li s10,1 +000001e0 : + 1e0: 1000d073 csrwi sstatus,1 -000001ec : - 1ec: 0000006f j 1ec +000001e4 : + 1e4: 0000006f j 1e4 -000001f0 : - 1f0: 00100d93 li s11,1 - 1f4: 00100d13 li s10,1 +000001e8 : + 1e8: 1001d073 csrwi sstatus,3 -000001f8 : - 1f8: 0000006f j 1f8 +000001ec : + 1ec: 0000006f j 1ec ... Disassembly of section .tohost: @@ -179,14 +176,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-ori.mem b/tests/isa/generated/rv32ui-p-ori.mem index c0f6cf4..f90081e 100644 --- a/tests/isa/generated/rv32ui-p-ori.mem +++ b/tests/isa/generated/rv32ui-p-ori.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 ff0100b7 f0008093 f0f0ef13 @@ -118,12 +117,10 @@ f00ffeb7 00000e93 00e00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -160,3 +157,6 @@ f00ffeb7 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-ori.verilog b/tests/isa/generated/rv32ui-p-ori.verilog index c26f518..5b23089 100755 --- a/tests/isa/generated/rv32ui-p-ori.verilog +++ b/tests/isa/generated/rv32ui-p-ori.verilog @@ -1,36 +1,36 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -13 EF F0 F0 93 0E F0 F0 93 01 20 00 63 14 DF 1D -B7 10 F0 0F 93 80 00 FF 13 EF 00 0F B7 1E F0 0F -93 8E 0E FF 93 01 30 00 63 16 DF 1B B7 00 FF 00 -93 80 F0 0F 13 EF F0 70 B7 0E FF 00 93 8E FE 7F -93 01 40 00 63 18 DF 19 B7 F0 0F F0 93 80 F0 00 -13 EF 00 0F B7 FE 0F F0 93 8E FE 0F 93 01 50 00 -63 1A DF 17 B7 00 01 FF 93 80 00 F0 93 E0 00 0F -B7 0E 01 FF 93 8E 0E FF 93 01 60 00 63 9C D0 15 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 EF 00 0F -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 1E F0 0F 93 8E 0E FF 93 01 70 00 63 14 D3 13 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 EF F0 70 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E FF 00 93 8E FE 7F 93 01 80 00 -63 1A D3 0F 13 02 00 00 B7 F0 0F F0 93 80 F0 00 -13 EF 00 0F 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 -93 8E FE 0F 93 01 90 00 63 1E D3 0B 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 EF 00 0F 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 1E F0 0F 93 8E 0E FF -93 01 A0 00 63 18 DF 09 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 EF F0 F0 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E F0 FF 93 01 B0 00 -63 12 DF 07 13 02 00 00 B7 F0 0F F0 93 80 F0 00 -13 00 00 00 13 00 00 00 13 EF 00 0F 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 0F -93 01 C0 00 63 18 DF 03 93 60 00 0F 93 0E 00 0F -93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F -13 E0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 B7 00 01 FF 93 80 00 F0 13 EF F0 F0 +93 0E F0 F0 93 01 20 00 63 14 DF 1D B7 10 F0 0F +93 80 00 FF 13 EF 00 0F B7 1E F0 0F 93 8E 0E FF +93 01 30 00 63 16 DF 1B B7 00 FF 00 93 80 F0 0F +13 EF F0 70 B7 0E FF 00 93 8E FE 7F 93 01 40 00 +63 18 DF 19 B7 F0 0F F0 93 80 F0 00 13 EF 00 0F +B7 FE 0F F0 93 8E FE 0F 93 01 50 00 63 1A DF 17 +B7 00 01 FF 93 80 00 F0 93 E0 00 0F B7 0E 01 FF +93 8E 0E FF 93 01 60 00 63 9C D0 15 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 EF 00 0F 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 1E F0 0F +93 8E 0E FF 93 01 70 00 63 14 D3 13 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 13 EF F0 70 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E FF 00 93 8E FE 7F 93 01 80 00 63 1A D3 0F +13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 EF 00 0F +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 0F +93 01 90 00 63 1E D3 0B 13 02 00 00 B7 10 F0 0F +93 80 00 FF 13 EF 00 0F 13 02 12 00 93 02 20 00 +E3 16 52 FE B7 1E F0 0F 93 8E 0E FF 93 01 A0 00 +63 18 DF 09 13 02 00 00 B7 00 FF 00 93 80 F0 0F +13 00 00 00 13 EF F0 F0 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E F0 FF 93 01 B0 00 63 12 DF 07 +13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 00 00 00 +13 00 00 00 13 EF 00 0F 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 FE 0F F0 93 8E FE 0F 93 01 C0 00 +63 18 DF 03 93 60 00 0F 93 0E 00 0F 93 01 D0 00 +63 90 D0 03 B7 00 FF 00 93 80 F0 0F 13 E0 F0 70 +93 0E 00 00 93 01 E0 00 63 14 D0 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sb b/tests/isa/generated/rv32ui-p-sb index ce8a03b11cc761bd9628e1c681f4d6c56d1bf179..4a80ed7b3360e442aa61f3a268280e066d704053 100755 GIT binary patch delta 655 zcmYk&O(;ZB6bJBgo*|6#KFUyd^URnjqW_y4=^^m_NrcF%P$Ik98M$QIk9Js_uK0GiEE zv8-c;>a7gPUTmW&?%R~Ia^K23D?d0hgtW)UUWCe5GlnPQqtOD?U7>tkNQ3 zmdaHVA(e4YNwo%pw6E54zNmf)FR5H>#su|hem+{z0z7-7`5p78>xoSWmoz~13gj^W z-ccUgNqpH?oQo9|d@vb_hU0)5*WAgt-xu+XH$XlX+LsfRlyP>UjS37s_?g24)*C+S^IJZ((L>c!-f&<0#L&p@u`3{9CB0X>aEr=3UOb?n$6NaC2I#cj@f-hl{sEsU BaEkx{ delta 794 zcmYk3L1+_E5Qb+qsZ>nN?mnTZAe%Ihh@x#0GzBjPEL2Kq!IL*J2=-81tCSpsZfFl4 z#6(BXgNHyW^x&bA-qgcFk41V?p|ll5@FG-1w4qr4-Q@5dyqW)d|IFLr?b4(-*+}u_ zH|gC(LdA&$`?-nid2-Bj*pstioG^0>w6C~Hty+pA^%0WeO%k4_N^-ZAwf;Q!S+Tl^zPCE;?@pHP1=|02@jURwF zG+qKnjc#=?;UmaVjh}&CjbDOSG+qXO*7!BiO^Rtxu!e#w8ovWqHC_j=YP<#Rk0OMN wX#+W`@kg*J+e14?s#hzwu1~l9Ov&JrayP3amNj``>$`4qyetE_N6ZJuazdEDC#BzDY4HKt>i0vTaI*9lVl$|Wj z2$Y^|&nV7#X>vHDG85y=$u*36OpMPauVFM|JUID2qcs!b{mC*+AdWv1kaJ^l50e(7 z?Sk7f#vN8Rs2Ackg3*FW!{A$dh zNPJM)8t_aGjC*kCJw+pV{3E<9&ip@>-3^78j0cWyjQO`lfFN`HS-5i=Gb)^U~7=?_)sr zjZbiu>?>d6Iwt&pTVx;EBiD3EntM)Z8IcFnmiR&;*<1G1CT{bt3gxP&BGKNcu(4x{ Z: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: faa00113 li sp,-86 - 14: 00208023 sb sp,0(ra) - 18: 00008f03 lb t5,0(ra) - 1c: faa00e93 li t4,-86 - 20: 00200193 li gp,2 - 24: 3ddf1c63 bne t5,t4,3fc +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: faa00113 li sp,-86 + 10: 00208023 sb sp,0(ra) + 14: 00008f03 lb t5,0(ra) + 18: faa00e93 li t4,-86 + 1c: 00200193 li gp,2 + 20: 3ddf1c63 bne t5,t4,3f8 -00000028 : - 28: 00001097 auipc ra,0x1 - 2c: fd808093 addi ra,ra,-40 # 1000 - 30: 00000113 li sp,0 - 34: 002080a3 sb sp,1(ra) - 38: 00108f03 lb t5,1(ra) - 3c: 00000e93 li t4,0 - 40: 00300193 li gp,3 - 44: 3bdf1c63 bne t5,t4,3fc +00000024 : + 24: 00001097 auipc ra,0x1 + 28: fdc08093 addi ra,ra,-36 # 1000 + 2c: 00000113 li sp,0 + 30: 002080a3 sb sp,1(ra) + 34: 00108f03 lb t5,1(ra) + 38: 00000e93 li t4,0 + 3c: 00300193 li gp,3 + 40: 3bdf1c63 bne t5,t4,3f8 -00000048 : - 48: 00001097 auipc ra,0x1 - 4c: fb808093 addi ra,ra,-72 # 1000 - 50: fffff137 lui sp,0xfffff - 54: fa010113 addi sp,sp,-96 # ffffefa0 <_end+0xffffdf18> - 58: 00208123 sb sp,2(ra) - 5c: 00209f03 lh t5,2(ra) - 60: fffffeb7 lui t4,0xfffff - 64: fa0e8e93 addi t4,t4,-96 # ffffefa0 <_end+0xffffdf18> - 68: 00400193 li gp,4 - 6c: 39df1863 bne t5,t4,3fc +00000044 : + 44: 00001097 auipc ra,0x1 + 48: fbc08093 addi ra,ra,-68 # 1000 + 4c: fffff137 lui sp,0xfffff + 50: fa010113 addi sp,sp,-96 # ffffefa0 <_end+0xffffdf18> + 54: 00208123 sb sp,2(ra) + 58: 00209f03 lh t5,2(ra) + 5c: fffffeb7 lui t4,0xfffff + 60: fa0e8e93 addi t4,t4,-96 # ffffefa0 <_end+0xffffdf18> + 64: 00400193 li gp,4 + 68: 39df1863 bne t5,t4,3f8 -00000070 : - 70: 00001097 auipc ra,0x1 - 74: f9008093 addi ra,ra,-112 # 1000 - 78: 00a00113 li sp,10 - 7c: 002081a3 sb sp,3(ra) - 80: 00308f03 lb t5,3(ra) - 84: 00a00e93 li t4,10 - 88: 00500193 li gp,5 - 8c: 37df1863 bne t5,t4,3fc +0000006c : + 6c: 00001097 auipc ra,0x1 + 70: f9408093 addi ra,ra,-108 # 1000 + 74: 00a00113 li sp,10 + 78: 002081a3 sb sp,3(ra) + 7c: 00308f03 lb t5,3(ra) + 80: 00a00e93 li t4,10 + 84: 00500193 li gp,5 + 88: 37df1863 bne t5,t4,3f8 -00000090 : - 90: 00001097 auipc ra,0x1 - 94: f7708093 addi ra,ra,-137 # 1007 - 98: faa00113 li sp,-86 - 9c: fe208ea3 sb sp,-3(ra) - a0: ffd08f03 lb t5,-3(ra) - a4: faa00e93 li t4,-86 - a8: 00600193 li gp,6 - ac: 35df1863 bne t5,t4,3fc +0000008c : + 8c: 00001097 auipc ra,0x1 + 90: f7b08093 addi ra,ra,-133 # 1007 + 94: faa00113 li sp,-86 + 98: fe208ea3 sb sp,-3(ra) + 9c: ffd08f03 lb t5,-3(ra) + a0: faa00e93 li t4,-86 + a4: 00600193 li gp,6 + a8: 35df1863 bne t5,t4,3f8 -000000b0 : - b0: 00001097 auipc ra,0x1 - b4: f5708093 addi ra,ra,-169 # 1007 - b8: 00000113 li sp,0 - bc: fe208f23 sb sp,-2(ra) - c0: ffe08f03 lb t5,-2(ra) - c4: 00000e93 li t4,0 - c8: 00700193 li gp,7 - cc: 33df1863 bne t5,t4,3fc +000000ac : + ac: 00001097 auipc ra,0x1 + b0: f5b08093 addi ra,ra,-165 # 1007 + b4: 00000113 li sp,0 + b8: fe208f23 sb sp,-2(ra) + bc: ffe08f03 lb t5,-2(ra) + c0: 00000e93 li t4,0 + c4: 00700193 li gp,7 + c8: 33df1863 bne t5,t4,3f8 -000000d0 : - d0: 00001097 auipc ra,0x1 - d4: f3708093 addi ra,ra,-201 # 1007 - d8: fa000113 li sp,-96 - dc: fe208fa3 sb sp,-1(ra) - e0: fff08f03 lb t5,-1(ra) - e4: fa000e93 li t4,-96 - e8: 00800193 li gp,8 - ec: 31df1863 bne t5,t4,3fc +000000cc : + cc: 00001097 auipc ra,0x1 + d0: f3b08093 addi ra,ra,-197 # 1007 + d4: fa000113 li sp,-96 + d8: fe208fa3 sb sp,-1(ra) + dc: fff08f03 lb t5,-1(ra) + e0: fa000e93 li t4,-96 + e4: 00800193 li gp,8 + e8: 31df1863 bne t5,t4,3f8 -000000f0 : - f0: 00001097 auipc ra,0x1 - f4: f1708093 addi ra,ra,-233 # 1007 - f8: 00a00113 li sp,10 - fc: 00208023 sb sp,0(ra) - 100: 00008f03 lb t5,0(ra) - 104: 00a00e93 li t4,10 - 108: 00900193 li gp,9 - 10c: 2fdf1863 bne t5,t4,3fc +000000ec : + ec: 00001097 auipc ra,0x1 + f0: f1b08093 addi ra,ra,-229 # 1007 + f4: 00a00113 li sp,10 + f8: 00208023 sb sp,0(ra) + fc: 00008f03 lb t5,0(ra) + 100: 00a00e93 li t4,10 + 104: 00900193 li gp,9 + 108: 2fdf1863 bne t5,t4,3f8 -00000110 : - 110: 00001097 auipc ra,0x1 - 114: ef808093 addi ra,ra,-264 # 1008 - 118: 12345137 lui sp,0x12345 - 11c: 67810113 addi sp,sp,1656 # 12345678 <_end+0x123445f0> - 120: fe008213 addi tp,ra,-32 - 124: 02220023 sb sp,32(tp) # 20 - 128: 00008283 lb t0,0(ra) - 12c: 07800e93 li t4,120 - 130: 00a00193 li gp,10 - 134: 2dd29463 bne t0,t4,3fc +0000010c : + 10c: 00001097 auipc ra,0x1 + 110: efc08093 addi ra,ra,-260 # 1008 + 114: 12345137 lui sp,0x12345 + 118: 67810113 addi sp,sp,1656 # 12345678 <_end+0x123445f0> + 11c: fe008213 addi tp,ra,-32 + 120: 02220023 sb sp,32(tp) # 20 + 124: 00008283 lb t0,0(ra) + 128: 07800e93 li t4,120 + 12c: 00a00193 li gp,10 + 130: 2dd29463 bne t0,t4,3f8 -00000138 : - 138: 00001097 auipc ra,0x1 - 13c: ed008093 addi ra,ra,-304 # 1008 - 140: 00003137 lui sp,0x3 - 144: 09810113 addi sp,sp,152 # 3098 <_end+0x2010> - 148: ffa08093 addi ra,ra,-6 - 14c: 002083a3 sb sp,7(ra) - 150: 00001217 auipc tp,0x1 - 154: eb920213 addi tp,tp,-327 # 1009 - 158: 00020283 lb t0,0(tp) # 0 <_start> - 15c: f9800e93 li t4,-104 - 160: 00b00193 li gp,11 - 164: 29d29c63 bne t0,t4,3fc +00000134 : + 134: 00001097 auipc ra,0x1 + 138: ed408093 addi ra,ra,-300 # 1008 + 13c: 00003137 lui sp,0x3 + 140: 09810113 addi sp,sp,152 # 3098 <_end+0x2010> + 144: ffa08093 addi ra,ra,-6 + 148: 002083a3 sb sp,7(ra) + 14c: 00001217 auipc tp,0x1 + 150: ebd20213 addi tp,tp,-323 # 1009 + 154: 00020283 lb t0,0(tp) # 0 <_start> + 158: f9800e93 li t4,-104 + 15c: 00b00193 li gp,11 + 160: 29d29c63 bne t0,t4,3f8 -00000168 : - 168: 00c00193 li gp,12 - 16c: 00000213 li tp,0 - 170: fdd00093 li ra,-35 - 174: 00001117 auipc sp,0x1 - 178: e8c10113 addi sp,sp,-372 # 1000 - 17c: 00110023 sb ra,0(sp) - 180: 00010f03 lb t5,0(sp) - 184: fdd00e93 li t4,-35 - 188: 27df1a63 bne t5,t4,3fc - 18c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 190: 00200293 li t0,2 - 194: fc521ee3 bne tp,t0,170 +00000164 : + 164: 00c00193 li gp,12 + 168: 00000213 li tp,0 + 16c: fdd00093 li ra,-35 + 170: 00001117 auipc sp,0x1 + 174: e9010113 addi sp,sp,-368 # 1000 + 178: 00110023 sb ra,0(sp) + 17c: 00010f03 lb t5,0(sp) + 180: fdd00e93 li t4,-35 + 184: 27df1a63 bne t5,t4,3f8 + 188: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 18c: 00200293 li t0,2 + 190: fc521ee3 bne tp,t0,16c -00000198 : - 198: 00d00193 li gp,13 - 19c: 00000213 li tp,0 - 1a0: fcd00093 li ra,-51 - 1a4: 00001117 auipc sp,0x1 - 1a8: e5c10113 addi sp,sp,-420 # 1000 - 1ac: 00000013 nop - 1b0: 001100a3 sb ra,1(sp) - 1b4: 00110f03 lb t5,1(sp) - 1b8: fcd00e93 li t4,-51 - 1bc: 25df1063 bne t5,t4,3fc - 1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c4: 00200293 li t0,2 - 1c8: fc521ce3 bne tp,t0,1a0 +00000194 : + 194: 00d00193 li gp,13 + 198: 00000213 li tp,0 + 19c: fcd00093 li ra,-51 + 1a0: 00001117 auipc sp,0x1 + 1a4: e6010113 addi sp,sp,-416 # 1000 + 1a8: 00000013 nop + 1ac: 001100a3 sb ra,1(sp) + 1b0: 00110f03 lb t5,1(sp) + 1b4: fcd00e93 li t4,-51 + 1b8: 25df1063 bne t5,t4,3f8 + 1bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c0: 00200293 li t0,2 + 1c4: fc521ce3 bne tp,t0,19c -000001cc : - 1cc: 00e00193 li gp,14 - 1d0: 00000213 li tp,0 - 1d4: fcc00093 li ra,-52 - 1d8: 00001117 auipc sp,0x1 - 1dc: e2810113 addi sp,sp,-472 # 1000 +000001c8 : + 1c8: 00e00193 li gp,14 + 1cc: 00000213 li tp,0 + 1d0: fcc00093 li ra,-52 + 1d4: 00001117 auipc sp,0x1 + 1d8: e2c10113 addi sp,sp,-468 # 1000 + 1dc: 00000013 nop 1e0: 00000013 nop - 1e4: 00000013 nop - 1e8: 00110123 sb ra,2(sp) - 1ec: 00210f03 lb t5,2(sp) - 1f0: fcc00e93 li t4,-52 - 1f4: 21df1463 bne t5,t4,3fc - 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1fc: 00200293 li t0,2 - 200: fc521ae3 bne tp,t0,1d4 + 1e4: 00110123 sb ra,2(sp) + 1e8: 00210f03 lb t5,2(sp) + 1ec: fcc00e93 li t4,-52 + 1f0: 21df1463 bne t5,t4,3f8 + 1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f8: 00200293 li t0,2 + 1fc: fc521ae3 bne tp,t0,1d0 -00000204 : - 204: 00f00193 li gp,15 - 208: 00000213 li tp,0 - 20c: fbc00093 li ra,-68 - 210: 00000013 nop - 214: 00001117 auipc sp,0x1 - 218: dec10113 addi sp,sp,-532 # 1000 - 21c: 001101a3 sb ra,3(sp) - 220: 00310f03 lb t5,3(sp) - 224: fbc00e93 li t4,-68 - 228: 1ddf1a63 bne t5,t4,3fc - 22c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 230: 00200293 li t0,2 - 234: fc521ce3 bne tp,t0,20c +00000200 : + 200: 00f00193 li gp,15 + 204: 00000213 li tp,0 + 208: fbc00093 li ra,-68 + 20c: 00000013 nop + 210: 00001117 auipc sp,0x1 + 214: df010113 addi sp,sp,-528 # 1000 + 218: 001101a3 sb ra,3(sp) + 21c: 00310f03 lb t5,3(sp) + 220: fbc00e93 li t4,-68 + 224: 1ddf1a63 bne t5,t4,3f8 + 228: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 22c: 00200293 li t0,2 + 230: fc521ce3 bne tp,t0,208 -00000238 : - 238: 01000193 li gp,16 - 23c: 00000213 li tp,0 - 240: fbb00093 li ra,-69 - 244: 00000013 nop - 248: 00001117 auipc sp,0x1 - 24c: db810113 addi sp,sp,-584 # 1000 - 250: 00000013 nop - 254: 00110223 sb ra,4(sp) - 258: 00410f03 lb t5,4(sp) - 25c: fbb00e93 li t4,-69 - 260: 19df1e63 bne t5,t4,3fc - 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 268: 00200293 li t0,2 - 26c: fc521ae3 bne tp,t0,240 +00000234 : + 234: 01000193 li gp,16 + 238: 00000213 li tp,0 + 23c: fbb00093 li ra,-69 + 240: 00000013 nop + 244: 00001117 auipc sp,0x1 + 248: dbc10113 addi sp,sp,-580 # 1000 + 24c: 00000013 nop + 250: 00110223 sb ra,4(sp) + 254: 00410f03 lb t5,4(sp) + 258: fbb00e93 li t4,-69 + 25c: 19df1e63 bne t5,t4,3f8 + 260: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 264: 00200293 li t0,2 + 268: fc521ae3 bne tp,t0,23c -00000270 : - 270: 01100193 li gp,17 - 274: 00000213 li tp,0 - 278: fab00093 li ra,-85 +0000026c : + 26c: 01100193 li gp,17 + 270: 00000213 li tp,0 + 274: fab00093 li ra,-85 + 278: 00000013 nop 27c: 00000013 nop - 280: 00000013 nop - 284: 00001117 auipc sp,0x1 - 288: d7c10113 addi sp,sp,-644 # 1000 - 28c: 001102a3 sb ra,5(sp) - 290: 00510f03 lb t5,5(sp) - 294: fab00e93 li t4,-85 - 298: 17df1263 bne t5,t4,3fc - 29c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2a0: 00200293 li t0,2 - 2a4: fc521ae3 bne tp,t0,278 + 280: 00001117 auipc sp,0x1 + 284: d8010113 addi sp,sp,-640 # 1000 + 288: 001102a3 sb ra,5(sp) + 28c: 00510f03 lb t5,5(sp) + 290: fab00e93 li t4,-85 + 294: 17df1263 bne t5,t4,3f8 + 298: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 29c: 00200293 li t0,2 + 2a0: fc521ae3 bne tp,t0,274 -000002a8 : - 2a8: 01200193 li gp,18 - 2ac: 00000213 li tp,0 - 2b0: 00001117 auipc sp,0x1 - 2b4: d5010113 addi sp,sp,-688 # 1000 - 2b8: 03300093 li ra,51 - 2bc: 00110023 sb ra,0(sp) - 2c0: 00010f03 lb t5,0(sp) - 2c4: 03300e93 li t4,51 - 2c8: 13df1a63 bne t5,t4,3fc - 2cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2d0: 00200293 li t0,2 - 2d4: fc521ee3 bne tp,t0,2b0 +000002a4 : + 2a4: 01200193 li gp,18 + 2a8: 00000213 li tp,0 + 2ac: 00001117 auipc sp,0x1 + 2b0: d5410113 addi sp,sp,-684 # 1000 + 2b4: 03300093 li ra,51 + 2b8: 00110023 sb ra,0(sp) + 2bc: 00010f03 lb t5,0(sp) + 2c0: 03300e93 li t4,51 + 2c4: 13df1a63 bne t5,t4,3f8 + 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2cc: 00200293 li t0,2 + 2d0: fc521ee3 bne tp,t0,2ac -000002d8 : - 2d8: 01300193 li gp,19 - 2dc: 00000213 li tp,0 - 2e0: 00001117 auipc sp,0x1 - 2e4: d2010113 addi sp,sp,-736 # 1000 - 2e8: 02300093 li ra,35 - 2ec: 00000013 nop - 2f0: 001100a3 sb ra,1(sp) - 2f4: 00110f03 lb t5,1(sp) - 2f8: 02300e93 li t4,35 - 2fc: 11df1063 bne t5,t4,3fc - 300: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 304: 00200293 li t0,2 - 308: fc521ce3 bne tp,t0,2e0 +000002d4 : + 2d4: 01300193 li gp,19 + 2d8: 00000213 li tp,0 + 2dc: 00001117 auipc sp,0x1 + 2e0: d2410113 addi sp,sp,-732 # 1000 + 2e4: 02300093 li ra,35 + 2e8: 00000013 nop + 2ec: 001100a3 sb ra,1(sp) + 2f0: 00110f03 lb t5,1(sp) + 2f4: 02300e93 li t4,35 + 2f8: 11df1063 bne t5,t4,3f8 + 2fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 300: 00200293 li t0,2 + 304: fc521ce3 bne tp,t0,2dc -0000030c : - 30c: 01400193 li gp,20 - 310: 00000213 li tp,0 - 314: 00001117 auipc sp,0x1 - 318: cec10113 addi sp,sp,-788 # 1000 - 31c: 02200093 li ra,34 +00000308 : + 308: 01400193 li gp,20 + 30c: 00000213 li tp,0 + 310: 00001117 auipc sp,0x1 + 314: cf010113 addi sp,sp,-784 # 1000 + 318: 02200093 li ra,34 + 31c: 00000013 nop 320: 00000013 nop - 324: 00000013 nop - 328: 00110123 sb ra,2(sp) - 32c: 00210f03 lb t5,2(sp) - 330: 02200e93 li t4,34 - 334: 0ddf1463 bne t5,t4,3fc - 338: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 33c: 00200293 li t0,2 - 340: fc521ae3 bne tp,t0,314 + 324: 00110123 sb ra,2(sp) + 328: 00210f03 lb t5,2(sp) + 32c: 02200e93 li t4,34 + 330: 0ddf1463 bne t5,t4,3f8 + 334: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 338: 00200293 li t0,2 + 33c: fc521ae3 bne tp,t0,310 -00000344 : - 344: 01500193 li gp,21 - 348: 00000213 li tp,0 - 34c: 00001117 auipc sp,0x1 - 350: cb410113 addi sp,sp,-844 # 1000 - 354: 00000013 nop - 358: 01200093 li ra,18 - 35c: 001101a3 sb ra,3(sp) - 360: 00310f03 lb t5,3(sp) - 364: 01200e93 li t4,18 - 368: 09df1a63 bne t5,t4,3fc - 36c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 370: 00200293 li t0,2 - 374: fc521ce3 bne tp,t0,34c +00000340 : + 340: 01500193 li gp,21 + 344: 00000213 li tp,0 + 348: 00001117 auipc sp,0x1 + 34c: cb810113 addi sp,sp,-840 # 1000 + 350: 00000013 nop + 354: 01200093 li ra,18 + 358: 001101a3 sb ra,3(sp) + 35c: 00310f03 lb t5,3(sp) + 360: 01200e93 li t4,18 + 364: 09df1a63 bne t5,t4,3f8 + 368: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 36c: 00200293 li t0,2 + 370: fc521ce3 bne tp,t0,348 -00000378 : - 378: 01600193 li gp,22 - 37c: 00000213 li tp,0 - 380: 00001117 auipc sp,0x1 - 384: c8010113 addi sp,sp,-896 # 1000 - 388: 00000013 nop - 38c: 01100093 li ra,17 - 390: 00000013 nop - 394: 00110223 sb ra,4(sp) - 398: 00410f03 lb t5,4(sp) - 39c: 01100e93 li t4,17 - 3a0: 05df1e63 bne t5,t4,3fc - 3a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3a8: 00200293 li t0,2 - 3ac: fc521ae3 bne tp,t0,380 +00000374 : + 374: 01600193 li gp,22 + 378: 00000213 li tp,0 + 37c: 00001117 auipc sp,0x1 + 380: c8410113 addi sp,sp,-892 # 1000 + 384: 00000013 nop + 388: 01100093 li ra,17 + 38c: 00000013 nop + 390: 00110223 sb ra,4(sp) + 394: 00410f03 lb t5,4(sp) + 398: 01100e93 li t4,17 + 39c: 05df1e63 bne t5,t4,3f8 + 3a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3a4: 00200293 li t0,2 + 3a8: fc521ae3 bne tp,t0,37c -000003b0 : - 3b0: 01700193 li gp,23 - 3b4: 00000213 li tp,0 - 3b8: 00001117 auipc sp,0x1 - 3bc: c4810113 addi sp,sp,-952 # 1000 +000003ac : + 3ac: 01700193 li gp,23 + 3b0: 00000213 li tp,0 + 3b4: 00001117 auipc sp,0x1 + 3b8: c4c10113 addi sp,sp,-948 # 1000 + 3bc: 00000013 nop 3c0: 00000013 nop - 3c4: 00000013 nop - 3c8: 00100093 li ra,1 - 3cc: 001102a3 sb ra,5(sp) - 3d0: 00510f03 lb t5,5(sp) - 3d4: 00100e93 li t4,1 - 3d8: 03df1263 bne t5,t4,3fc - 3dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3e0: 00200293 li t0,2 - 3e4: fc521ae3 bne tp,t0,3b8 - 3e8: 0ef00513 li a0,239 - 3ec: 00001597 auipc a1,0x1 - 3f0: c1458593 addi a1,a1,-1004 # 1000 - 3f4: 00a581a3 sb a0,3(a1) - 3f8: 00301863 bne zero,gp,408 + 3c4: 00100093 li ra,1 + 3c8: 001102a3 sb ra,5(sp) + 3cc: 00510f03 lb t5,5(sp) + 3d0: 00100e93 li t4,1 + 3d4: 03df1263 bne t5,t4,3f8 + 3d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3dc: 00200293 li t0,2 + 3e0: fc521ae3 bne tp,t0,3b4 + 3e4: 0ef00513 li a0,239 + 3e8: 00001597 auipc a1,0x1 + 3ec: c1858593 addi a1,a1,-1000 # 1000 + 3f0: 00a581a3 sb a0,3(a1) + 3f4: 00301663 bne zero,gp,400 -000003fc : - 3fc: 00000d93 li s11,0 - 400: 00100d13 li s10,1 +000003f8 : + 3f8: 1000d073 csrwi sstatus,1 -00000404 : - 404: 0000006f j 404 +000003fc : + 3fc: 0000006f j 3fc -00000408 : - 408: 00100d93 li s11,1 - 40c: 00100d13 li s10,1 +00000400 : + 400: 1001d073 csrwi sstatus,3 -00000410 : - 410: 0000006f j 410 +00000404 : + 404: 0000006f j 404 ... Disassembly of section .data: @@ -365,14 +362,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sb.mem b/tests/isa/generated/rv32ui-p-sb.mem index df0037d..b0e318b 100644 --- a/tests/isa/generated/rv32ui-p-sb.mem +++ b/tests/isa/generated/rv32ui-p-sb.mem @@ -1,7 +1,6 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 faa00113 00208023 00008f03 @@ -9,7 +8,7 @@ faa00e93 00200193 3ddf1c63 00001097 -fd808093 +fdc08093 00000113 002080a3 00108f03 @@ -17,7 +16,7 @@ fd808093 00300193 3bdf1c63 00001097 -fb808093 +fbc08093 fffff137 fa010113 00208123 @@ -27,7 +26,7 @@ fa0e8e93 00400193 39df1863 00001097 -f9008093 +f9408093 00a00113 002081a3 00308f03 @@ -35,7 +34,7 @@ f9008093 00500193 37df1863 00001097 -f7708093 +f7b08093 faa00113 fe208ea3 ffd08f03 @@ -43,7 +42,7 @@ faa00e93 00600193 35df1863 00001097 -f5708093 +f5b08093 00000113 fe208f23 ffe08f03 @@ -51,7 +50,7 @@ ffe08f03 00700193 33df1863 00001097 -f3708093 +f3b08093 fa000113 fe208fa3 fff08f03 @@ -59,7 +58,7 @@ fa000e93 00800193 31df1863 00001097 -f1708093 +f1b08093 00a00113 00208023 00008f03 @@ -67,7 +66,7 @@ f1708093 00900193 2fdf1863 00001097 -ef808093 +efc08093 12345137 67810113 fe008213 @@ -77,13 +76,13 @@ fe008213 00a00193 2dd29463 00001097 -ed008093 +ed408093 00003137 09810113 ffa08093 002083a3 00001217 -eb920213 +ebd20213 00020283 f9800e93 00b00193 @@ -92,7 +91,7 @@ f9800e93 00000213 fdd00093 00001117 -e8c10113 +e9010113 00110023 00010f03 fdd00e93 @@ -104,7 +103,7 @@ fc521ee3 00000213 fcd00093 00001117 -e5c10113 +e6010113 00000013 001100a3 00110f03 @@ -117,7 +116,7 @@ fc521ce3 00000213 fcc00093 00001117 -e2810113 +e2c10113 00000013 00000013 00110123 @@ -132,7 +131,7 @@ fc521ae3 fbc00093 00000013 00001117 -dec10113 +df010113 001101a3 00310f03 fbc00e93 @@ -145,7 +144,7 @@ fc521ce3 fbb00093 00000013 00001117 -db810113 +dbc10113 00000013 00110223 00410f03 @@ -160,7 +159,7 @@ fab00093 00000013 00000013 00001117 -d7c10113 +d8010113 001102a3 00510f03 fab00e93 @@ -171,7 +170,7 @@ fc521ae3 01200193 00000213 00001117 -d5010113 +d5410113 03300093 00110023 00010f03 @@ -183,7 +182,7 @@ fc521ee3 01300193 00000213 00001117 -d2010113 +d2410113 02300093 00000013 001100a3 @@ -196,7 +195,7 @@ fc521ce3 01400193 00000213 00001117 -cec10113 +cf010113 02200093 00000013 00000013 @@ -210,7 +209,7 @@ fc521ae3 01500193 00000213 00001117 -cb410113 +cb810113 00000013 01200093 001101a3 @@ -223,7 +222,7 @@ fc521ce3 01600193 00000213 00001117 -c8010113 +c8410113 00000013 01100093 00000013 @@ -237,7 +236,7 @@ fc521ae3 01700193 00000213 00001117 -c4810113 +c4c10113 00000013 00000013 00100093 @@ -250,14 +249,12 @@ c4810113 fc521ae3 0ef00513 00001597 -c1458593 +c1858593 00a581a3 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ c1458593 00000000 00000000 00000000 +00000000 +00000000 +00000000 efefefef efefefef 0000efef diff --git a/tests/isa/generated/rv32ui-p-sb.verilog b/tests/isa/generated/rv32ui-p-sb.verilog index 8663df8..b34a54c 100755 --- a/tests/isa/generated/rv32ui-p-sb.verilog +++ b/tests/isa/generated/rv32ui-p-sb.verilog @@ -1,70 +1,70 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -13 01 A0 FA 23 80 20 00 03 8F 00 00 93 0E A0 FA -93 01 20 00 63 1C DF 3D 97 10 00 00 93 80 80 FD -13 01 00 00 A3 80 20 00 03 8F 10 00 93 0E 00 00 -93 01 30 00 63 1C DF 3B 97 10 00 00 93 80 80 FB -37 F1 FF FF 13 01 01 FA 23 81 20 00 03 9F 20 00 -B7 FE FF FF 93 8E 0E FA 93 01 40 00 63 18 DF 39 -97 10 00 00 93 80 00 F9 13 01 A0 00 A3 81 20 00 -03 8F 30 00 93 0E A0 00 93 01 50 00 63 18 DF 37 -97 10 00 00 93 80 70 F7 13 01 A0 FA A3 8E 20 FE -03 8F D0 FF 93 0E A0 FA 93 01 60 00 63 18 DF 35 -97 10 00 00 93 80 70 F5 13 01 00 00 23 8F 20 FE -03 8F E0 FF 93 0E 00 00 93 01 70 00 63 18 DF 33 -97 10 00 00 93 80 70 F3 13 01 00 FA A3 8F 20 FE -03 8F F0 FF 93 0E 00 FA 93 01 80 00 63 18 DF 31 -97 10 00 00 93 80 70 F1 13 01 A0 00 23 80 20 00 -03 8F 00 00 93 0E A0 00 93 01 90 00 63 18 DF 2F -97 10 00 00 93 80 80 EF 37 51 34 12 13 01 81 67 -13 82 00 FE 23 00 22 02 83 82 00 00 93 0E 80 07 -93 01 A0 00 63 94 D2 2D 97 10 00 00 93 80 00 ED -37 31 00 00 13 01 81 09 93 80 A0 FF A3 83 20 00 -17 12 00 00 13 02 92 EB 83 02 02 00 93 0E 80 F9 -93 01 B0 00 63 9C D2 29 93 01 C0 00 13 02 00 00 -93 00 D0 FD 17 11 00 00 13 01 C1 E8 23 00 11 00 -03 0F 01 00 93 0E D0 FD 63 1A DF 27 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 -93 00 D0 FC 17 11 00 00 13 01 C1 E5 13 00 00 00 -A3 00 11 00 03 0F 11 00 93 0E D0 FC 63 10 DF 25 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 -13 02 00 00 93 00 C0 FC 17 11 00 00 13 01 81 E2 -13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 -93 0E C0 FC 63 14 DF 21 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 F0 00 13 02 00 00 93 00 C0 FB -13 00 00 00 17 11 00 00 13 01 C1 DE A3 01 11 00 -03 0F 31 00 93 0E C0 FB 63 1A DF 1D 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 00 01 13 02 00 00 -93 00 B0 FB 13 00 00 00 17 11 00 00 13 01 81 DB -13 00 00 00 23 02 11 00 03 0F 41 00 93 0E B0 FB -63 1E DF 19 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 10 01 13 02 00 00 93 00 B0 FA 13 00 00 00 -13 00 00 00 17 11 00 00 13 01 C1 D7 A3 02 11 00 -03 0F 51 00 93 0E B0 FA 63 12 DF 17 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 D5 93 00 30 03 23 00 11 00 -03 0F 01 00 93 0E 30 03 63 1A DF 13 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 30 01 13 02 00 00 -17 11 00 00 13 01 01 D2 93 00 30 02 13 00 00 00 -A3 00 11 00 03 0F 11 00 93 0E 30 02 63 10 DF 11 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 CE 93 00 20 02 -13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 -93 0E 20 02 63 14 DF 0D 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 50 01 13 02 00 00 17 11 00 00 -13 01 41 CB 13 00 00 00 93 00 20 01 A3 01 11 00 -03 0F 31 00 93 0E 20 01 63 1A DF 09 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 60 01 13 02 00 00 -17 11 00 00 13 01 01 C8 13 00 00 00 93 00 10 01 -13 00 00 00 23 02 11 00 03 0F 41 00 93 0E 10 01 -63 1E DF 05 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 70 01 13 02 00 00 17 11 00 00 13 01 81 C4 -13 00 00 00 13 00 00 00 93 00 10 00 A3 02 11 00 -03 0F 51 00 93 0E 10 00 63 12 DF 03 13 02 12 00 -93 02 20 00 E3 1A 52 FC 13 05 F0 0E 97 15 00 00 -93 85 45 C1 A3 81 A5 00 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 13 01 A0 FA +23 80 20 00 03 8F 00 00 93 0E A0 FA 93 01 20 00 +63 1C DF 3D 97 10 00 00 93 80 C0 FD 13 01 00 00 +A3 80 20 00 03 8F 10 00 93 0E 00 00 93 01 30 00 +63 1C DF 3B 97 10 00 00 93 80 C0 FB 37 F1 FF FF +13 01 01 FA 23 81 20 00 03 9F 20 00 B7 FE FF FF +93 8E 0E FA 93 01 40 00 63 18 DF 39 97 10 00 00 +93 80 40 F9 13 01 A0 00 A3 81 20 00 03 8F 30 00 +93 0E A0 00 93 01 50 00 63 18 DF 37 97 10 00 00 +93 80 B0 F7 13 01 A0 FA A3 8E 20 FE 03 8F D0 FF +93 0E A0 FA 93 01 60 00 63 18 DF 35 97 10 00 00 +93 80 B0 F5 13 01 00 00 23 8F 20 FE 03 8F E0 FF +93 0E 00 00 93 01 70 00 63 18 DF 33 97 10 00 00 +93 80 B0 F3 13 01 00 FA A3 8F 20 FE 03 8F F0 FF +93 0E 00 FA 93 01 80 00 63 18 DF 31 97 10 00 00 +93 80 B0 F1 13 01 A0 00 23 80 20 00 03 8F 00 00 +93 0E A0 00 93 01 90 00 63 18 DF 2F 97 10 00 00 +93 80 C0 EF 37 51 34 12 13 01 81 67 13 82 00 FE +23 00 22 02 83 82 00 00 93 0E 80 07 93 01 A0 00 +63 94 D2 2D 97 10 00 00 93 80 40 ED 37 31 00 00 +13 01 81 09 93 80 A0 FF A3 83 20 00 17 12 00 00 +13 02 D2 EB 83 02 02 00 93 0E 80 F9 93 01 B0 00 +63 9C D2 29 93 01 C0 00 13 02 00 00 93 00 D0 FD +17 11 00 00 13 01 01 E9 23 00 11 00 03 0F 01 00 +93 0E D0 FD 63 1A DF 27 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 D0 00 13 02 00 00 93 00 D0 FC +17 11 00 00 13 01 01 E6 13 00 00 00 A3 00 11 00 +03 0F 11 00 93 0E D0 FC 63 10 DF 25 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 E0 00 13 02 00 00 +93 00 C0 FC 17 11 00 00 13 01 C1 E2 13 00 00 00 +13 00 00 00 23 01 11 00 03 0F 21 00 93 0E C0 FC +63 14 DF 21 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 F0 00 13 02 00 00 93 00 C0 FB 13 00 00 00 +17 11 00 00 13 01 01 DF A3 01 11 00 03 0F 31 00 +93 0E C0 FB 63 1A DF 1D 13 02 12 00 93 02 20 00 +E3 1C 52 FC 93 01 00 01 13 02 00 00 93 00 B0 FB +13 00 00 00 17 11 00 00 13 01 C1 DB 13 00 00 00 +23 02 11 00 03 0F 41 00 93 0E B0 FB 63 1E DF 19 +13 02 12 00 93 02 20 00 E3 1A 52 FC 93 01 10 01 +13 02 00 00 93 00 B0 FA 13 00 00 00 13 00 00 00 +17 11 00 00 13 01 01 D8 A3 02 11 00 03 0F 51 00 +93 0E B0 FA 63 12 DF 17 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 20 01 13 02 00 00 17 11 00 00 +13 01 41 D5 93 00 30 03 23 00 11 00 03 0F 01 00 +93 0E 30 03 63 1A DF 13 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 30 01 13 02 00 00 17 11 00 00 +13 01 41 D2 93 00 30 02 13 00 00 00 A3 00 11 00 +03 0F 11 00 93 0E 30 02 63 10 DF 11 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 40 01 13 02 00 00 +17 11 00 00 13 01 01 CF 93 00 20 02 13 00 00 00 +13 00 00 00 23 01 11 00 03 0F 21 00 93 0E 20 02 +63 14 DF 0D 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 50 01 13 02 00 00 17 11 00 00 13 01 81 CB +13 00 00 00 93 00 20 01 A3 01 11 00 03 0F 31 00 +93 0E 20 01 63 1A DF 09 13 02 12 00 93 02 20 00 +E3 1C 52 FC 93 01 60 01 13 02 00 00 17 11 00 00 +13 01 41 C8 13 00 00 00 93 00 10 01 13 00 00 00 +23 02 11 00 03 0F 41 00 93 0E 10 01 63 1E DF 05 +13 02 12 00 93 02 20 00 E3 1A 52 FC 93 01 70 01 +13 02 00 00 17 11 00 00 13 01 C1 C4 13 00 00 00 +13 00 00 00 93 00 10 00 A3 02 11 00 03 0F 51 00 +93 0E 10 00 63 12 DF 03 13 02 12 00 93 02 20 00 +E3 1A 52 FC 13 05 F0 0E 97 15 00 00 93 85 85 C1 +A3 81 A5 00 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sh b/tests/isa/generated/rv32ui-p-sh index 51b2a99e44459b49083d67dc2ee4917e402747cf..50a02545d4d997d55d1a857094faf5bce2b5589a 100755 GIT binary patch delta 673 zcmYk2F-RL>6vyAYMlnqx-{ndZ2Xl8OUIvk96mE!A4_nEg*v(ZGED?%gB+Ve0&C|@PN(6LH!5yL?m=U$~H5mSs?+7e;KxS=Cq;Tl~vvTF*d7B38_ovrZ4 z?g7xS;HCV}yLB=k7+_bv(f@qX8i3%p;Op%nOotF|ShE)O+@& z)M37mJjMJ1p8|w2#y+Z;I!@53sV|kKv&QRn$rssMl>c{&j48=W>_y4T>@~?(**(v= zxrMO7vtROUfJw+n!7pCelf3a^e^Yo5-|pQg&sxHrVK}tRT(B3L5<|L%JejTXs))VAN#+X7OOkbQEe3-qms9E?C)OV zyVO<4WAa61oW@t_bVFQs}*V zM#N;m=PVDwH!VL5uF7O!`q!+q2>xXG5LofQfaxsosJspgUzCl&%s#YcH!yo|`3$_> z`M)~{JY)GhykhwReBJU#@RlZ&_%JP@4_f}5Xq;xOU&WZ3lwlR$kt diff --git a/tests/isa/generated/rv32ui-p-sh.bin b/tests/isa/generated/rv32ui-p-sh.bin index 1a4140c63a40e7d11c6ef4ddc1e8fcc9c4312362..0029a8c2a8101b3df9f2b0b825a25a47854ee187 100755 GIT binary patch delta 204 zcmeBB>`>$`4qyetE_N6ZO>@9VUjW16lRzObXv8u8?C=_&V`~8smbA z?|}jzCQC4?F&>y~&!{cQ@Y>vvfkBwDk#lmxhX0EvD=>%)0r^aeCO0!`Gaj70p3$7q zaq@FUYsSXO%1q8cb~+P?y%@}X&ZG|%5ob0Bs`O{JW@0=sxrf<~iSfwfGt5RnvHw6B t$I0d__TsKPl_xJ{NES0-D89fTkk7yXq!|VBH!oy~=bpTQMPiab2LOl@KKB3s delta 238 zcmeBB>`>$v=4D`*48+p~fOJE{L~eP;hKbthj0_Wl)q$*Pb)^U2gc%obDbH2-$2@<* z|H*s{xF$0uFeD4zw}t3=@MYo=HO7RAuhp3zyq_$>sK(eZ*_u&X^1v%|Lk0$6#zxM` z4IBP1o~*ziE(GK=B~7kp)Mjj)yqeLRk#X{4Mr%gL$?{CjKz1?{h&><7e$1o~6cJ`N z2deaDwq`nbd~ye~9n-: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 0aa00113 li sp,170 - 14: 00209023 sh sp,0(ra) - 18: 00009f03 lh t5,0(ra) - 1c: 0aa00e93 li t4,170 - 20: 00200193 li gp,2 - 24: 45df1e63 bne t5,t4,480 +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 0aa00113 li sp,170 + 10: 00209023 sh sp,0(ra) + 14: 00009f03 lh t5,0(ra) + 18: 0aa00e93 li t4,170 + 1c: 00200193 li gp,2 + 20: 45df1e63 bne t5,t4,47c -00000028 : - 28: 00001097 auipc ra,0x1 - 2c: fd808093 addi ra,ra,-40 # 1000 - 30: ffffb137 lui sp,0xffffb - 34: a0010113 addi sp,sp,-1536 # ffffaa00 <_end+0xffff9978> - 38: 00209123 sh sp,2(ra) - 3c: 00209f03 lh t5,2(ra) - 40: ffffbeb7 lui t4,0xffffb - 44: a00e8e93 addi t4,t4,-1536 # ffffaa00 <_end+0xffff9978> - 48: 00300193 li gp,3 - 4c: 43df1a63 bne t5,t4,480 +00000024 : + 24: 00001097 auipc ra,0x1 + 28: fdc08093 addi ra,ra,-36 # 1000 + 2c: ffffb137 lui sp,0xffffb + 30: a0010113 addi sp,sp,-1536 # ffffaa00 <_end+0xffff9978> + 34: 00209123 sh sp,2(ra) + 38: 00209f03 lh t5,2(ra) + 3c: ffffbeb7 lui t4,0xffffb + 40: a00e8e93 addi t4,t4,-1536 # ffffaa00 <_end+0xffff9978> + 44: 00300193 li gp,3 + 48: 43df1a63 bne t5,t4,47c -00000050 : - 50: 00001097 auipc ra,0x1 - 54: fb008093 addi ra,ra,-80 # 1000 - 58: beef1137 lui sp,0xbeef1 - 5c: aa010113 addi sp,sp,-1376 # beef0aa0 <_end+0xbeeefa18> - 60: 00209223 sh sp,4(ra) - 64: 0040af03 lw t5,4(ra) - 68: beef1eb7 lui t4,0xbeef1 - 6c: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <_end+0xbeeefa18> - 70: 00400193 li gp,4 - 74: 41df1663 bne t5,t4,480 +0000004c : + 4c: 00001097 auipc ra,0x1 + 50: fb408093 addi ra,ra,-76 # 1000 + 54: beef1137 lui sp,0xbeef1 + 58: aa010113 addi sp,sp,-1376 # beef0aa0 <_end+0xbeeefa18> + 5c: 00209223 sh sp,4(ra) + 60: 0040af03 lw t5,4(ra) + 64: beef1eb7 lui t4,0xbeef1 + 68: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <_end+0xbeeefa18> + 6c: 00400193 li gp,4 + 70: 41df1663 bne t5,t4,47c -00000078 : - 78: 00001097 auipc ra,0x1 - 7c: f8808093 addi ra,ra,-120 # 1000 - 80: ffffa137 lui sp,0xffffa - 84: 00a10113 addi sp,sp,10 # ffffa00a <_end+0xffff8f82> - 88: 00209323 sh sp,6(ra) - 8c: 00609f03 lh t5,6(ra) - 90: ffffaeb7 lui t4,0xffffa - 94: 00ae8e93 addi t4,t4,10 # ffffa00a <_end+0xffff8f82> - 98: 00500193 li gp,5 - 9c: 3fdf1263 bne t5,t4,480 +00000074 : + 74: 00001097 auipc ra,0x1 + 78: f8c08093 addi ra,ra,-116 # 1000 + 7c: ffffa137 lui sp,0xffffa + 80: 00a10113 addi sp,sp,10 # ffffa00a <_end+0xffff8f82> + 84: 00209323 sh sp,6(ra) + 88: 00609f03 lh t5,6(ra) + 8c: ffffaeb7 lui t4,0xffffa + 90: 00ae8e93 addi t4,t4,10 # ffffa00a <_end+0xffff8f82> + 94: 00500193 li gp,5 + 98: 3fdf1263 bne t5,t4,47c -000000a0 : - a0: 00001097 auipc ra,0x1 - a4: f6e08093 addi ra,ra,-146 # 100e - a8: 0aa00113 li sp,170 - ac: fe209d23 sh sp,-6(ra) - b0: ffa09f03 lh t5,-6(ra) - b4: 0aa00e93 li t4,170 - b8: 00600193 li gp,6 - bc: 3ddf1263 bne t5,t4,480 +0000009c : + 9c: 00001097 auipc ra,0x1 + a0: f7208093 addi ra,ra,-142 # 100e + a4: 0aa00113 li sp,170 + a8: fe209d23 sh sp,-6(ra) + ac: ffa09f03 lh t5,-6(ra) + b0: 0aa00e93 li t4,170 + b4: 00600193 li gp,6 + b8: 3ddf1263 bne t5,t4,47c -000000c0 : - c0: 00001097 auipc ra,0x1 - c4: f4e08093 addi ra,ra,-178 # 100e - c8: ffffb137 lui sp,0xffffb - cc: a0010113 addi sp,sp,-1536 # ffffaa00 <_end+0xffff9978> - d0: fe209e23 sh sp,-4(ra) - d4: ffc09f03 lh t5,-4(ra) - d8: ffffbeb7 lui t4,0xffffb - dc: a00e8e93 addi t4,t4,-1536 # ffffaa00 <_end+0xffff9978> - e0: 00700193 li gp,7 - e4: 39df1e63 bne t5,t4,480 +000000bc : + bc: 00001097 auipc ra,0x1 + c0: f5208093 addi ra,ra,-174 # 100e + c4: ffffb137 lui sp,0xffffb + c8: a0010113 addi sp,sp,-1536 # ffffaa00 <_end+0xffff9978> + cc: fe209e23 sh sp,-4(ra) + d0: ffc09f03 lh t5,-4(ra) + d4: ffffbeb7 lui t4,0xffffb + d8: a00e8e93 addi t4,t4,-1536 # ffffaa00 <_end+0xffff9978> + dc: 00700193 li gp,7 + e0: 39df1e63 bne t5,t4,47c -000000e8 : - e8: 00001097 auipc ra,0x1 - ec: f2608093 addi ra,ra,-218 # 100e - f0: 00001137 lui sp,0x1 - f4: aa010113 addi sp,sp,-1376 # aa0 - f8: fe209f23 sh sp,-2(ra) - fc: ffe09f03 lh t5,-2(ra) - 100: 00001eb7 lui t4,0x1 - 104: aa0e8e93 addi t4,t4,-1376 # aa0 - 108: 00800193 li gp,8 - 10c: 37df1a63 bne t5,t4,480 +000000e4 : + e4: 00001097 auipc ra,0x1 + e8: f2a08093 addi ra,ra,-214 # 100e + ec: 00001137 lui sp,0x1 + f0: aa010113 addi sp,sp,-1376 # aa0 + f4: fe209f23 sh sp,-2(ra) + f8: ffe09f03 lh t5,-2(ra) + fc: 00001eb7 lui t4,0x1 + 100: aa0e8e93 addi t4,t4,-1376 # aa0 + 104: 00800193 li gp,8 + 108: 37df1a63 bne t5,t4,47c -00000110 : - 110: 00001097 auipc ra,0x1 - 114: efe08093 addi ra,ra,-258 # 100e - 118: ffffa137 lui sp,0xffffa - 11c: 00a10113 addi sp,sp,10 # ffffa00a <_end+0xffff8f82> - 120: 00209023 sh sp,0(ra) - 124: 00009f03 lh t5,0(ra) - 128: ffffaeb7 lui t4,0xffffa - 12c: 00ae8e93 addi t4,t4,10 # ffffa00a <_end+0xffff8f82> - 130: 00900193 li gp,9 - 134: 35df1663 bne t5,t4,480 +0000010c : + 10c: 00001097 auipc ra,0x1 + 110: f0208093 addi ra,ra,-254 # 100e + 114: ffffa137 lui sp,0xffffa + 118: 00a10113 addi sp,sp,10 # ffffa00a <_end+0xffff8f82> + 11c: 00209023 sh sp,0(ra) + 120: 00009f03 lh t5,0(ra) + 124: ffffaeb7 lui t4,0xffffa + 128: 00ae8e93 addi t4,t4,10 # ffffa00a <_end+0xffff8f82> + 12c: 00900193 li gp,9 + 130: 35df1663 bne t5,t4,47c -00000138 : - 138: 00001097 auipc ra,0x1 - 13c: ed808093 addi ra,ra,-296 # 1010 - 140: 12345137 lui sp,0x12345 - 144: 67810113 addi sp,sp,1656 # 12345678 <_end+0x123445f0> - 148: fe008213 addi tp,ra,-32 - 14c: 02221023 sh sp,32(tp) # 20 - 150: 00009283 lh t0,0(ra) - 154: 00005eb7 lui t4,0x5 - 158: 678e8e93 addi t4,t4,1656 # 5678 <_end+0x45f0> - 15c: 00a00193 li gp,10 - 160: 33d29063 bne t0,t4,480 +00000134 : + 134: 00001097 auipc ra,0x1 + 138: edc08093 addi ra,ra,-292 # 1010 + 13c: 12345137 lui sp,0x12345 + 140: 67810113 addi sp,sp,1656 # 12345678 <_end+0x123445f0> + 144: fe008213 addi tp,ra,-32 + 148: 02221023 sh sp,32(tp) # 20 + 14c: 00009283 lh t0,0(ra) + 150: 00005eb7 lui t4,0x5 + 154: 678e8e93 addi t4,t4,1656 # 5678 <_end+0x45f0> + 158: 00a00193 li gp,10 + 15c: 33d29063 bne t0,t4,47c -00000164 : - 164: 00001097 auipc ra,0x1 - 168: eac08093 addi ra,ra,-340 # 1010 - 16c: 00003137 lui sp,0x3 - 170: 09810113 addi sp,sp,152 # 3098 <_end+0x2010> - 174: ffb08093 addi ra,ra,-5 - 178: 002093a3 sh sp,7(ra) - 17c: 00001217 auipc tp,0x1 - 180: e9620213 addi tp,tp,-362 # 1012 - 184: 00021283 lh t0,0(tp) # 0 <_start> - 188: 00003eb7 lui t4,0x3 - 18c: 098e8e93 addi t4,t4,152 # 3098 <_end+0x2010> - 190: 00b00193 li gp,11 - 194: 2fd29663 bne t0,t4,480 +00000160 : + 160: 00001097 auipc ra,0x1 + 164: eb008093 addi ra,ra,-336 # 1010 + 168: 00003137 lui sp,0x3 + 16c: 09810113 addi sp,sp,152 # 3098 <_end+0x2010> + 170: ffb08093 addi ra,ra,-5 + 174: 002093a3 sh sp,7(ra) + 178: 00001217 auipc tp,0x1 + 17c: e9a20213 addi tp,tp,-358 # 1012 + 180: 00021283 lh t0,0(tp) # 0 <_start> + 184: 00003eb7 lui t4,0x3 + 188: 098e8e93 addi t4,t4,152 # 3098 <_end+0x2010> + 18c: 00b00193 li gp,11 + 190: 2fd29663 bne t0,t4,47c -00000198 : - 198: 00c00193 li gp,12 - 19c: 00000213 li tp,0 - 1a0: ffffd0b7 lui ra,0xffffd - 1a4: cdd08093 addi ra,ra,-803 # ffffccdd <_end+0xffffbc55> - 1a8: 00001117 auipc sp,0x1 - 1ac: e5810113 addi sp,sp,-424 # 1000 - 1b0: 00111023 sh ra,0(sp) - 1b4: 00011f03 lh t5,0(sp) - 1b8: ffffdeb7 lui t4,0xffffd - 1bc: cdde8e93 addi t4,t4,-803 # ffffccdd <_end+0xffffbc55> - 1c0: 2ddf1063 bne t5,t4,480 - 1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c8: 00200293 li t0,2 - 1cc: fc521ae3 bne tp,t0,1a0 +00000194 : + 194: 00c00193 li gp,12 + 198: 00000213 li tp,0 + 19c: ffffd0b7 lui ra,0xffffd + 1a0: cdd08093 addi ra,ra,-803 # ffffccdd <_end+0xffffbc55> + 1a4: 00001117 auipc sp,0x1 + 1a8: e5c10113 addi sp,sp,-420 # 1000 + 1ac: 00111023 sh ra,0(sp) + 1b0: 00011f03 lh t5,0(sp) + 1b4: ffffdeb7 lui t4,0xffffd + 1b8: cdde8e93 addi t4,t4,-803 # ffffccdd <_end+0xffffbc55> + 1bc: 2ddf1063 bne t5,t4,47c + 1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c4: 00200293 li t0,2 + 1c8: fc521ae3 bne tp,t0,19c -000001d0 : - 1d0: 00d00193 li gp,13 - 1d4: 00000213 li tp,0 - 1d8: ffffc0b7 lui ra,0xffffc - 1dc: ccd08093 addi ra,ra,-819 # ffffbccd <_end+0xffffac45> - 1e0: 00001117 auipc sp,0x1 - 1e4: e2010113 addi sp,sp,-480 # 1000 - 1e8: 00000013 nop - 1ec: 00111123 sh ra,2(sp) - 1f0: 00211f03 lh t5,2(sp) - 1f4: ffffceb7 lui t4,0xffffc - 1f8: ccde8e93 addi t4,t4,-819 # ffffbccd <_end+0xffffac45> - 1fc: 29df1263 bne t5,t4,480 - 200: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 204: 00200293 li t0,2 - 208: fc5218e3 bne tp,t0,1d8 +000001cc : + 1cc: 00d00193 li gp,13 + 1d0: 00000213 li tp,0 + 1d4: ffffc0b7 lui ra,0xffffc + 1d8: ccd08093 addi ra,ra,-819 # ffffbccd <_end+0xffffac45> + 1dc: 00001117 auipc sp,0x1 + 1e0: e2410113 addi sp,sp,-476 # 1000 + 1e4: 00000013 nop + 1e8: 00111123 sh ra,2(sp) + 1ec: 00211f03 lh t5,2(sp) + 1f0: ffffceb7 lui t4,0xffffc + 1f4: ccde8e93 addi t4,t4,-819 # ffffbccd <_end+0xffffac45> + 1f8: 29df1263 bne t5,t4,47c + 1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 200: 00200293 li t0,2 + 204: fc5218e3 bne tp,t0,1d4 -0000020c : - 20c: 00e00193 li gp,14 - 210: 00000213 li tp,0 - 214: ffffc0b7 lui ra,0xffffc - 218: bcc08093 addi ra,ra,-1076 # ffffbbcc <_end+0xffffab44> - 21c: 00001117 auipc sp,0x1 - 220: de410113 addi sp,sp,-540 # 1000 +00000208 : + 208: 00e00193 li gp,14 + 20c: 00000213 li tp,0 + 210: ffffc0b7 lui ra,0xffffc + 214: bcc08093 addi ra,ra,-1076 # ffffbbcc <_end+0xffffab44> + 218: 00001117 auipc sp,0x1 + 21c: de810113 addi sp,sp,-536 # 1000 + 220: 00000013 nop 224: 00000013 nop - 228: 00000013 nop - 22c: 00111223 sh ra,4(sp) - 230: 00411f03 lh t5,4(sp) - 234: ffffceb7 lui t4,0xffffc - 238: bcce8e93 addi t4,t4,-1076 # ffffbbcc <_end+0xffffab44> - 23c: 25df1263 bne t5,t4,480 - 240: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 244: 00200293 li t0,2 - 248: fc5216e3 bne tp,t0,214 + 228: 00111223 sh ra,4(sp) + 22c: 00411f03 lh t5,4(sp) + 230: ffffceb7 lui t4,0xffffc + 234: bcce8e93 addi t4,t4,-1076 # ffffbbcc <_end+0xffffab44> + 238: 25df1263 bne t5,t4,47c + 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 240: 00200293 li t0,2 + 244: fc5216e3 bne tp,t0,210 -0000024c : - 24c: 00f00193 li gp,15 - 250: 00000213 li tp,0 - 254: ffffb0b7 lui ra,0xffffb - 258: bbc08093 addi ra,ra,-1092 # ffffabbc <_end+0xffff9b34> - 25c: 00000013 nop - 260: 00001117 auipc sp,0x1 - 264: da010113 addi sp,sp,-608 # 1000 - 268: 00111323 sh ra,6(sp) - 26c: 00611f03 lh t5,6(sp) - 270: ffffbeb7 lui t4,0xffffb - 274: bbce8e93 addi t4,t4,-1092 # ffffabbc <_end+0xffff9b34> - 278: 21df1463 bne t5,t4,480 - 27c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 280: 00200293 li t0,2 - 284: fc5218e3 bne tp,t0,254 +00000248 : + 248: 00f00193 li gp,15 + 24c: 00000213 li tp,0 + 250: ffffb0b7 lui ra,0xffffb + 254: bbc08093 addi ra,ra,-1092 # ffffabbc <_end+0xffff9b34> + 258: 00000013 nop + 25c: 00001117 auipc sp,0x1 + 260: da410113 addi sp,sp,-604 # 1000 + 264: 00111323 sh ra,6(sp) + 268: 00611f03 lh t5,6(sp) + 26c: ffffbeb7 lui t4,0xffffb + 270: bbce8e93 addi t4,t4,-1092 # ffffabbc <_end+0xffff9b34> + 274: 21df1463 bne t5,t4,47c + 278: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 27c: 00200293 li t0,2 + 280: fc5218e3 bne tp,t0,250 -00000288 : - 288: 01000193 li gp,16 - 28c: 00000213 li tp,0 - 290: ffffb0b7 lui ra,0xffffb - 294: abb08093 addi ra,ra,-1349 # ffffaabb <_end+0xffff9a33> - 298: 00000013 nop - 29c: 00001117 auipc sp,0x1 - 2a0: d6410113 addi sp,sp,-668 # 1000 - 2a4: 00000013 nop - 2a8: 00111423 sh ra,8(sp) - 2ac: 00811f03 lh t5,8(sp) - 2b0: ffffbeb7 lui t4,0xffffb - 2b4: abbe8e93 addi t4,t4,-1349 # ffffaabb <_end+0xffff9a33> - 2b8: 1ddf1463 bne t5,t4,480 - 2bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2c0: 00200293 li t0,2 - 2c4: fc5216e3 bne tp,t0,290 +00000284 : + 284: 01000193 li gp,16 + 288: 00000213 li tp,0 + 28c: ffffb0b7 lui ra,0xffffb + 290: abb08093 addi ra,ra,-1349 # ffffaabb <_end+0xffff9a33> + 294: 00000013 nop + 298: 00001117 auipc sp,0x1 + 29c: d6810113 addi sp,sp,-664 # 1000 + 2a0: 00000013 nop + 2a4: 00111423 sh ra,8(sp) + 2a8: 00811f03 lh t5,8(sp) + 2ac: ffffbeb7 lui t4,0xffffb + 2b0: abbe8e93 addi t4,t4,-1349 # ffffaabb <_end+0xffff9a33> + 2b4: 1ddf1463 bne t5,t4,47c + 2b8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2bc: 00200293 li t0,2 + 2c0: fc5216e3 bne tp,t0,28c -000002c8 : - 2c8: 01100193 li gp,17 - 2cc: 00000213 li tp,0 - 2d0: ffffe0b7 lui ra,0xffffe - 2d4: aab08093 addi ra,ra,-1365 # ffffdaab <_end+0xffffca23> +000002c4 : + 2c4: 01100193 li gp,17 + 2c8: 00000213 li tp,0 + 2cc: ffffe0b7 lui ra,0xffffe + 2d0: aab08093 addi ra,ra,-1365 # ffffdaab <_end+0xffffca23> + 2d4: 00000013 nop 2d8: 00000013 nop - 2dc: 00000013 nop - 2e0: 00001117 auipc sp,0x1 - 2e4: d2010113 addi sp,sp,-736 # 1000 - 2e8: 00111523 sh ra,10(sp) - 2ec: 00a11f03 lh t5,10(sp) - 2f0: ffffeeb7 lui t4,0xffffe - 2f4: aabe8e93 addi t4,t4,-1365 # ffffdaab <_end+0xffffca23> - 2f8: 19df1463 bne t5,t4,480 - 2fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 300: 00200293 li t0,2 - 304: fc5216e3 bne tp,t0,2d0 + 2dc: 00001117 auipc sp,0x1 + 2e0: d2410113 addi sp,sp,-732 # 1000 + 2e4: 00111523 sh ra,10(sp) + 2e8: 00a11f03 lh t5,10(sp) + 2ec: ffffeeb7 lui t4,0xffffe + 2f0: aabe8e93 addi t4,t4,-1365 # ffffdaab <_end+0xffffca23> + 2f4: 19df1463 bne t5,t4,47c + 2f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2fc: 00200293 li t0,2 + 300: fc5216e3 bne tp,t0,2cc -00000308 : - 308: 01200193 li gp,18 - 30c: 00000213 li tp,0 - 310: 00001117 auipc sp,0x1 - 314: cf010113 addi sp,sp,-784 # 1000 - 318: 000020b7 lui ra,0x2 - 31c: 23308093 addi ra,ra,563 # 2233 <_end+0x11ab> - 320: 00111023 sh ra,0(sp) - 324: 00011f03 lh t5,0(sp) - 328: 00002eb7 lui t4,0x2 - 32c: 233e8e93 addi t4,t4,563 # 2233 <_end+0x11ab> - 330: 15df1863 bne t5,t4,480 - 334: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 338: 00200293 li t0,2 - 33c: fc521ae3 bne tp,t0,310 +00000304 : + 304: 01200193 li gp,18 + 308: 00000213 li tp,0 + 30c: 00001117 auipc sp,0x1 + 310: cf410113 addi sp,sp,-780 # 1000 + 314: 000020b7 lui ra,0x2 + 318: 23308093 addi ra,ra,563 # 2233 <_end+0x11ab> + 31c: 00111023 sh ra,0(sp) + 320: 00011f03 lh t5,0(sp) + 324: 00002eb7 lui t4,0x2 + 328: 233e8e93 addi t4,t4,563 # 2233 <_end+0x11ab> + 32c: 15df1863 bne t5,t4,47c + 330: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 334: 00200293 li t0,2 + 338: fc521ae3 bne tp,t0,30c -00000340 : - 340: 01300193 li gp,19 - 344: 00000213 li tp,0 - 348: 00001117 auipc sp,0x1 - 34c: cb810113 addi sp,sp,-840 # 1000 - 350: 000010b7 lui ra,0x1 - 354: 22308093 addi ra,ra,547 # 1223 <_end+0x19b> - 358: 00000013 nop - 35c: 00111123 sh ra,2(sp) - 360: 00211f03 lh t5,2(sp) - 364: 00001eb7 lui t4,0x1 - 368: 223e8e93 addi t4,t4,547 # 1223 <_end+0x19b> - 36c: 11df1a63 bne t5,t4,480 - 370: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 374: 00200293 li t0,2 - 378: fc5218e3 bne tp,t0,348 +0000033c : + 33c: 01300193 li gp,19 + 340: 00000213 li tp,0 + 344: 00001117 auipc sp,0x1 + 348: cbc10113 addi sp,sp,-836 # 1000 + 34c: 000010b7 lui ra,0x1 + 350: 22308093 addi ra,ra,547 # 1223 <_end+0x19b> + 354: 00000013 nop + 358: 00111123 sh ra,2(sp) + 35c: 00211f03 lh t5,2(sp) + 360: 00001eb7 lui t4,0x1 + 364: 223e8e93 addi t4,t4,547 # 1223 <_end+0x19b> + 368: 11df1a63 bne t5,t4,47c + 36c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 370: 00200293 li t0,2 + 374: fc5218e3 bne tp,t0,344 -0000037c : - 37c: 01400193 li gp,20 - 380: 00000213 li tp,0 - 384: 00001117 auipc sp,0x1 - 388: c7c10113 addi sp,sp,-900 # 1000 - 38c: 000010b7 lui ra,0x1 - 390: 12208093 addi ra,ra,290 # 1122 <_end+0x9a> +00000378 : + 378: 01400193 li gp,20 + 37c: 00000213 li tp,0 + 380: 00001117 auipc sp,0x1 + 384: c8010113 addi sp,sp,-896 # 1000 + 388: 000010b7 lui ra,0x1 + 38c: 12208093 addi ra,ra,290 # 1122 <_end+0x9a> + 390: 00000013 nop 394: 00000013 nop - 398: 00000013 nop - 39c: 00111223 sh ra,4(sp) - 3a0: 00411f03 lh t5,4(sp) - 3a4: 00001eb7 lui t4,0x1 - 3a8: 122e8e93 addi t4,t4,290 # 1122 <_end+0x9a> - 3ac: 0ddf1a63 bne t5,t4,480 - 3b0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3b4: 00200293 li t0,2 - 3b8: fc5216e3 bne tp,t0,384 + 398: 00111223 sh ra,4(sp) + 39c: 00411f03 lh t5,4(sp) + 3a0: 00001eb7 lui t4,0x1 + 3a4: 122e8e93 addi t4,t4,290 # 1122 <_end+0x9a> + 3a8: 0ddf1a63 bne t5,t4,47c + 3ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3b0: 00200293 li t0,2 + 3b4: fc5216e3 bne tp,t0,380 -000003bc : - 3bc: 01500193 li gp,21 - 3c0: 00000213 li tp,0 - 3c4: 00001117 auipc sp,0x1 - 3c8: c3c10113 addi sp,sp,-964 # 1000 - 3cc: 00000013 nop - 3d0: 11200093 li ra,274 - 3d4: 00111323 sh ra,6(sp) - 3d8: 00611f03 lh t5,6(sp) - 3dc: 11200e93 li t4,274 - 3e0: 0bdf1063 bne t5,t4,480 - 3e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3e8: 00200293 li t0,2 - 3ec: fc521ce3 bne tp,t0,3c4 +000003b8 : + 3b8: 01500193 li gp,21 + 3bc: 00000213 li tp,0 + 3c0: 00001117 auipc sp,0x1 + 3c4: c4010113 addi sp,sp,-960 # 1000 + 3c8: 00000013 nop + 3cc: 11200093 li ra,274 + 3d0: 00111323 sh ra,6(sp) + 3d4: 00611f03 lh t5,6(sp) + 3d8: 11200e93 li t4,274 + 3dc: 0bdf1063 bne t5,t4,47c + 3e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3e4: 00200293 li t0,2 + 3e8: fc521ce3 bne tp,t0,3c0 -000003f0 : - 3f0: 01600193 li gp,22 - 3f4: 00000213 li tp,0 - 3f8: 00001117 auipc sp,0x1 - 3fc: c0810113 addi sp,sp,-1016 # 1000 - 400: 00000013 nop - 404: 01100093 li ra,17 - 408: 00000013 nop - 40c: 00111423 sh ra,8(sp) - 410: 00811f03 lh t5,8(sp) - 414: 01100e93 li t4,17 - 418: 07df1463 bne t5,t4,480 - 41c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 420: 00200293 li t0,2 - 424: fc521ae3 bne tp,t0,3f8 +000003ec : + 3ec: 01600193 li gp,22 + 3f0: 00000213 li tp,0 + 3f4: 00001117 auipc sp,0x1 + 3f8: c0c10113 addi sp,sp,-1012 # 1000 + 3fc: 00000013 nop + 400: 01100093 li ra,17 + 404: 00000013 nop + 408: 00111423 sh ra,8(sp) + 40c: 00811f03 lh t5,8(sp) + 410: 01100e93 li t4,17 + 414: 07df1463 bne t5,t4,47c + 418: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 41c: 00200293 li t0,2 + 420: fc521ae3 bne tp,t0,3f4 -00000428 : - 428: 01700193 li gp,23 - 42c: 00000213 li tp,0 - 430: 00001117 auipc sp,0x1 - 434: bd010113 addi sp,sp,-1072 # 1000 +00000424 : + 424: 01700193 li gp,23 + 428: 00000213 li tp,0 + 42c: 00001117 auipc sp,0x1 + 430: bd410113 addi sp,sp,-1068 # 1000 + 434: 00000013 nop 438: 00000013 nop - 43c: 00000013 nop - 440: 000030b7 lui ra,0x3 - 444: 00108093 addi ra,ra,1 # 3001 <_end+0x1f79> - 448: 00111523 sh ra,10(sp) - 44c: 00a11f03 lh t5,10(sp) - 450: 00003eb7 lui t4,0x3 - 454: 001e8e93 addi t4,t4,1 # 3001 <_end+0x1f79> - 458: 03df1463 bne t5,t4,480 - 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 460: 00200293 li t0,2 - 464: fc5216e3 bne tp,t0,430 - 468: 0000c537 lui a0,0xc - 46c: eef50513 addi a0,a0,-273 # beef <_end+0xae67> - 470: 00001597 auipc a1,0x1 - 474: b9058593 addi a1,a1,-1136 # 1000 - 478: 00a59323 sh a0,6(a1) - 47c: 00301863 bne zero,gp,48c + 43c: 000030b7 lui ra,0x3 + 440: 00108093 addi ra,ra,1 # 3001 <_end+0x1f79> + 444: 00111523 sh ra,10(sp) + 448: 00a11f03 lh t5,10(sp) + 44c: 00003eb7 lui t4,0x3 + 450: 001e8e93 addi t4,t4,1 # 3001 <_end+0x1f79> + 454: 03df1463 bne t5,t4,47c + 458: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 45c: 00200293 li t0,2 + 460: fc5216e3 bne tp,t0,42c + 464: 0000c537 lui a0,0xc + 468: eef50513 addi a0,a0,-273 # beef <_end+0xae67> + 46c: 00001597 auipc a1,0x1 + 470: b9458593 addi a1,a1,-1132 # 1000 + 474: 00a59323 sh a0,6(a1) + 478: 00301663 bne zero,gp,484 -00000480 : - 480: 00000d93 li s11,0 - 484: 00100d13 li s10,1 +0000047c : + 47c: 1000d073 csrwi sstatus,1 -00000488 : - 488: 0000006f j 488 +00000480 : + 480: 0000006f j 480 -0000048c : - 48c: 00100d93 li s11,1 - 490: 00100d13 li s10,1 +00000484 : + 484: 1001d073 csrwi sstatus,3 -00000494 : - 494: 0000006f j 494 +00000488 : + 488: 0000006f j 488 ... Disassembly of section .data: @@ -397,14 +394,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sh.mem b/tests/isa/generated/rv32ui-p-sh.mem index 49d66c6..f046ca1 100644 --- a/tests/isa/generated/rv32ui-p-sh.mem +++ b/tests/isa/generated/rv32ui-p-sh.mem @@ -1,7 +1,6 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 0aa00113 00209023 00009f03 @@ -9,7 +8,7 @@ ff808093 00200193 45df1e63 00001097 -fd808093 +fdc08093 ffffb137 a0010113 00209123 @@ -19,7 +18,7 @@ a00e8e93 00300193 43df1a63 00001097 -fb008093 +fb408093 beef1137 aa010113 00209223 @@ -29,7 +28,7 @@ aa0e8e93 00400193 41df1663 00001097 -f8808093 +f8c08093 ffffa137 00a10113 00209323 @@ -39,7 +38,7 @@ ffffaeb7 00500193 3fdf1263 00001097 -f6e08093 +f7208093 0aa00113 fe209d23 ffa09f03 @@ -47,7 +46,7 @@ ffa09f03 00600193 3ddf1263 00001097 -f4e08093 +f5208093 ffffb137 a0010113 fe209e23 @@ -57,7 +56,7 @@ a00e8e93 00700193 39df1e63 00001097 -f2608093 +f2a08093 00001137 aa010113 fe209f23 @@ -67,7 +66,7 @@ aa0e8e93 00800193 37df1a63 00001097 -efe08093 +f0208093 ffffa137 00a10113 00209023 @@ -77,7 +76,7 @@ ffffaeb7 00900193 35df1663 00001097 -ed808093 +edc08093 12345137 67810113 fe008213 @@ -88,13 +87,13 @@ fe008213 00a00193 33d29063 00001097 -eac08093 +eb008093 00003137 09810113 ffb08093 002093a3 00001217 -e9620213 +e9a20213 00021283 00003eb7 098e8e93 @@ -105,7 +104,7 @@ e9620213 ffffd0b7 cdd08093 00001117 -e5810113 +e5c10113 00111023 00011f03 ffffdeb7 @@ -119,7 +118,7 @@ fc521ae3 ffffc0b7 ccd08093 00001117 -e2010113 +e2410113 00000013 00111123 00211f03 @@ -134,7 +133,7 @@ fc5218e3 ffffc0b7 bcc08093 00001117 -de410113 +de810113 00000013 00000013 00111223 @@ -151,7 +150,7 @@ ffffb0b7 bbc08093 00000013 00001117 -da010113 +da410113 00111323 00611f03 ffffbeb7 @@ -166,7 +165,7 @@ ffffb0b7 abb08093 00000013 00001117 -d6410113 +d6810113 00000013 00111423 00811f03 @@ -183,7 +182,7 @@ aab08093 00000013 00000013 00001117 -d2010113 +d2410113 00111523 00a11f03 ffffeeb7 @@ -195,7 +194,7 @@ fc5216e3 01200193 00000213 00001117 -cf010113 +cf410113 000020b7 23308093 00111023 @@ -209,7 +208,7 @@ fc521ae3 01300193 00000213 00001117 -cb810113 +cbc10113 000010b7 22308093 00000013 @@ -224,7 +223,7 @@ fc5218e3 01400193 00000213 00001117 -c7c10113 +c8010113 000010b7 12208093 00000013 @@ -240,7 +239,7 @@ fc5216e3 01500193 00000213 00001117 -c3c10113 +c4010113 00000013 11200093 00111323 @@ -253,7 +252,7 @@ fc521ce3 01600193 00000213 00001117 -c0810113 +c0c10113 00000013 01100093 00000013 @@ -267,7 +266,7 @@ fc521ae3 01700193 00000213 00001117 -bd010113 +bd410113 00000013 00000013 000030b7 @@ -283,14 +282,12 @@ fc5216e3 0000c537 eef50513 00001597 -b9058593 +b9458593 00a59323 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ b9058593 00000000 00000000 00000000 +00000000 +00000000 +00000000 beefbeef beefbeef beefbeef diff --git a/tests/isa/generated/rv32ui-p-sh.verilog b/tests/isa/generated/rv32ui-p-sh.verilog index 6438be0..dff8077 100755 --- a/tests/isa/generated/rv32ui-p-sh.verilog +++ b/tests/isa/generated/rv32ui-p-sh.verilog @@ -1,78 +1,78 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -13 01 A0 0A 23 90 20 00 03 9F 00 00 93 0E A0 0A -93 01 20 00 63 1E DF 45 97 10 00 00 93 80 80 FD -37 B1 FF FF 13 01 01 A0 23 91 20 00 03 9F 20 00 -B7 BE FF FF 93 8E 0E A0 93 01 30 00 63 1A DF 43 -97 10 00 00 93 80 00 FB 37 11 EF BE 13 01 01 AA -23 92 20 00 03 AF 40 00 B7 1E EF BE 93 8E 0E AA -93 01 40 00 63 16 DF 41 97 10 00 00 93 80 80 F8 -37 A1 FF FF 13 01 A1 00 23 93 20 00 03 9F 60 00 -B7 AE FF FF 93 8E AE 00 93 01 50 00 63 12 DF 3F -97 10 00 00 93 80 E0 F6 13 01 A0 0A 23 9D 20 FE -03 9F A0 FF 93 0E A0 0A 93 01 60 00 63 12 DF 3D -97 10 00 00 93 80 E0 F4 37 B1 FF FF 13 01 01 A0 -23 9E 20 FE 03 9F C0 FF B7 BE FF FF 93 8E 0E A0 -93 01 70 00 63 1E DF 39 97 10 00 00 93 80 60 F2 -37 11 00 00 13 01 01 AA 23 9F 20 FE 03 9F E0 FF -B7 1E 00 00 93 8E 0E AA 93 01 80 00 63 1A DF 37 -97 10 00 00 93 80 E0 EF 37 A1 FF FF 13 01 A1 00 -23 90 20 00 03 9F 00 00 B7 AE FF FF 93 8E AE 00 -93 01 90 00 63 16 DF 35 97 10 00 00 93 80 80 ED -37 51 34 12 13 01 81 67 13 82 00 FE 23 10 22 02 -83 92 00 00 B7 5E 00 00 93 8E 8E 67 93 01 A0 00 -63 90 D2 33 97 10 00 00 93 80 C0 EA 37 31 00 00 -13 01 81 09 93 80 B0 FF A3 93 20 00 17 12 00 00 -13 02 62 E9 83 12 02 00 B7 3E 00 00 93 8E 8E 09 -93 01 B0 00 63 96 D2 2F 93 01 C0 00 13 02 00 00 -B7 D0 FF FF 93 80 D0 CD 17 11 00 00 13 01 81 E5 -23 10 11 00 03 1F 01 00 B7 DE FF FF 93 8E DE CD -63 10 DF 2D 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 D0 00 13 02 00 00 B7 C0 FF FF 93 80 D0 CC -17 11 00 00 13 01 01 E2 13 00 00 00 23 11 11 00 -03 1F 21 00 B7 CE FF FF 93 8E DE CC 63 12 DF 29 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 -13 02 00 00 B7 C0 FF FF 93 80 C0 BC 17 11 00 00 -13 01 41 DE 13 00 00 00 13 00 00 00 23 12 11 00 -03 1F 41 00 B7 CE FF FF 93 8E CE BC 63 12 DF 25 -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 -13 02 00 00 B7 B0 FF FF 93 80 C0 BB 13 00 00 00 -17 11 00 00 13 01 01 DA 23 13 11 00 03 1F 61 00 -B7 BE FF FF 93 8E CE BB 63 14 DF 21 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 -B7 B0 FF FF 93 80 B0 AB 13 00 00 00 17 11 00 00 -13 01 41 D6 13 00 00 00 23 14 11 00 03 1F 81 00 -B7 BE FF FF 93 8E BE AB 63 14 DF 1D 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 -B7 E0 FF FF 93 80 B0 AA 13 00 00 00 13 00 00 00 -17 11 00 00 13 01 01 D2 23 15 11 00 03 1F A1 00 -B7 EE FF FF 93 8E BE AA 63 14 DF 19 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 CF B7 20 00 00 93 80 30 23 -23 10 11 00 03 1F 01 00 B7 2E 00 00 93 8E 3E 23 -63 18 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CB -B7 10 00 00 93 80 30 22 13 00 00 00 23 11 11 00 -03 1F 21 00 B7 1E 00 00 93 8E 3E 22 63 1A DF 11 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 C7 B7 10 00 00 -93 80 20 12 13 00 00 00 13 00 00 00 23 12 11 00 -03 1F 41 00 B7 1E 00 00 93 8E 2E 12 63 1A DF 0D -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 -13 02 00 00 17 11 00 00 13 01 C1 C3 13 00 00 00 -93 00 20 11 23 13 11 00 03 1F 61 00 93 0E 20 11 -63 10 DF 0B 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 60 01 13 02 00 00 17 11 00 00 13 01 81 C0 -13 00 00 00 93 00 10 01 13 00 00 00 23 14 11 00 -03 1F 81 00 93 0E 10 01 63 14 DF 07 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 70 01 13 02 00 00 -17 11 00 00 13 01 01 BD 13 00 00 00 13 00 00 00 -B7 30 00 00 93 80 10 00 23 15 11 00 03 1F A1 00 -B7 3E 00 00 93 8E 1E 00 63 14 DF 03 13 02 12 00 -93 02 20 00 E3 16 52 FC 37 C5 00 00 13 05 F5 EE -97 15 00 00 93 85 05 B9 23 93 A5 00 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 13 01 A0 0A +23 90 20 00 03 9F 00 00 93 0E A0 0A 93 01 20 00 +63 1E DF 45 97 10 00 00 93 80 C0 FD 37 B1 FF FF +13 01 01 A0 23 91 20 00 03 9F 20 00 B7 BE FF FF +93 8E 0E A0 93 01 30 00 63 1A DF 43 97 10 00 00 +93 80 40 FB 37 11 EF BE 13 01 01 AA 23 92 20 00 +03 AF 40 00 B7 1E EF BE 93 8E 0E AA 93 01 40 00 +63 16 DF 41 97 10 00 00 93 80 C0 F8 37 A1 FF FF +13 01 A1 00 23 93 20 00 03 9F 60 00 B7 AE FF FF +93 8E AE 00 93 01 50 00 63 12 DF 3F 97 10 00 00 +93 80 20 F7 13 01 A0 0A 23 9D 20 FE 03 9F A0 FF +93 0E A0 0A 93 01 60 00 63 12 DF 3D 97 10 00 00 +93 80 20 F5 37 B1 FF FF 13 01 01 A0 23 9E 20 FE +03 9F C0 FF B7 BE FF FF 93 8E 0E A0 93 01 70 00 +63 1E DF 39 97 10 00 00 93 80 A0 F2 37 11 00 00 +13 01 01 AA 23 9F 20 FE 03 9F E0 FF B7 1E 00 00 +93 8E 0E AA 93 01 80 00 63 1A DF 37 97 10 00 00 +93 80 20 F0 37 A1 FF FF 13 01 A1 00 23 90 20 00 +03 9F 00 00 B7 AE FF FF 93 8E AE 00 93 01 90 00 +63 16 DF 35 97 10 00 00 93 80 C0 ED 37 51 34 12 +13 01 81 67 13 82 00 FE 23 10 22 02 83 92 00 00 +B7 5E 00 00 93 8E 8E 67 93 01 A0 00 63 90 D2 33 +97 10 00 00 93 80 00 EB 37 31 00 00 13 01 81 09 +93 80 B0 FF A3 93 20 00 17 12 00 00 13 02 A2 E9 +83 12 02 00 B7 3E 00 00 93 8E 8E 09 93 01 B0 00 +63 96 D2 2F 93 01 C0 00 13 02 00 00 B7 D0 FF FF +93 80 D0 CD 17 11 00 00 13 01 C1 E5 23 10 11 00 +03 1F 01 00 B7 DE FF FF 93 8E DE CD 63 10 DF 2D +13 02 12 00 93 02 20 00 E3 1A 52 FC 93 01 D0 00 +13 02 00 00 B7 C0 FF FF 93 80 D0 CC 17 11 00 00 +13 01 41 E2 13 00 00 00 23 11 11 00 03 1F 21 00 +B7 CE FF FF 93 8E DE CC 63 12 DF 29 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 E0 00 13 02 00 00 +B7 C0 FF FF 93 80 C0 BC 17 11 00 00 13 01 81 DE +13 00 00 00 13 00 00 00 23 12 11 00 03 1F 41 00 +B7 CE FF FF 93 8E CE BC 63 12 DF 25 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 F0 00 13 02 00 00 +B7 B0 FF FF 93 80 C0 BB 13 00 00 00 17 11 00 00 +13 01 41 DA 23 13 11 00 03 1F 61 00 B7 BE FF FF +93 8E CE BB 63 14 DF 21 13 02 12 00 93 02 20 00 +E3 18 52 FC 93 01 00 01 13 02 00 00 B7 B0 FF FF +93 80 B0 AB 13 00 00 00 17 11 00 00 13 01 81 D6 +13 00 00 00 23 14 11 00 03 1F 81 00 B7 BE FF FF +93 8E BE AB 63 14 DF 1D 13 02 12 00 93 02 20 00 +E3 16 52 FC 93 01 10 01 13 02 00 00 B7 E0 FF FF +93 80 B0 AA 13 00 00 00 13 00 00 00 17 11 00 00 +13 01 41 D2 23 15 11 00 03 1F A1 00 B7 EE FF FF +93 8E BE AA 63 14 DF 19 13 02 12 00 93 02 20 00 +E3 16 52 FC 93 01 20 01 13 02 00 00 17 11 00 00 +13 01 41 CF B7 20 00 00 93 80 30 23 23 10 11 00 +03 1F 01 00 B7 2E 00 00 93 8E 3E 23 63 18 DF 15 +13 02 12 00 93 02 20 00 E3 1A 52 FC 93 01 30 01 +13 02 00 00 17 11 00 00 13 01 C1 CB B7 10 00 00 +93 80 30 22 13 00 00 00 23 11 11 00 03 1F 21 00 +B7 1E 00 00 93 8E 3E 22 63 1A DF 11 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 40 01 13 02 00 00 +17 11 00 00 13 01 01 C8 B7 10 00 00 93 80 20 12 +13 00 00 00 13 00 00 00 23 12 11 00 03 1F 41 00 +B7 1E 00 00 93 8E 2E 12 63 1A DF 0D 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 50 01 13 02 00 00 +17 11 00 00 13 01 01 C4 13 00 00 00 93 00 20 11 +23 13 11 00 03 1F 61 00 93 0E 20 11 63 10 DF 0B +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 60 01 +13 02 00 00 17 11 00 00 13 01 C1 C0 13 00 00 00 +93 00 10 01 13 00 00 00 23 14 11 00 03 1F 81 00 +93 0E 10 01 63 14 DF 07 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 70 01 13 02 00 00 17 11 00 00 +13 01 41 BD 13 00 00 00 13 00 00 00 B7 30 00 00 +93 80 10 00 23 15 11 00 03 1F A1 00 B7 3E 00 00 +93 8E 1E 00 63 14 DF 03 13 02 12 00 93 02 20 00 +E3 16 52 FC 37 C5 00 00 13 05 F5 EE 97 15 00 00 +93 85 45 B9 23 93 A5 00 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-simple b/tests/isa/generated/rv32ui-p-simple index 4ee9bbe4f37124679a1e84b20aa745325c5855ad..ff0e1aa12f3f09613f0de06f3a05ffe7182c03d5 100755 GIT binary patch delta 108 zcmX@3c0p}|0;9!7#ryo6#Q_Wg#TOU_@+T(lmvfY5U|=Z9EKV+CU=#*2S&PbyjWUf2 z3>Y{Vxwt1L%1siqVC0x=DVWD*P~z%rVY+#(pfDq&+~k8o%8V~2KNV7ETrrtfSe^BU LAOnK~P*fNIPvjjl delta 127 zcmcbhc1CT20;9=B#ryn%!n_O&lfhVkL6}#7A%C)L#sUn&yaEjQ3_}wD0E+7Z5C8xG diff --git a/tests/isa/generated/rv32ui-p-simple.dump b/tests/isa/generated/rv32ui-p-simple.dump index 3a09f8d..72bd0f0 100644 --- a/tests/isa/generated/rv32ui-p-simple.dump +++ b/tests/isa/generated/rv32ui-p-simple.dump @@ -5,13 +5,11 @@ generated/rv32ui-p-simple: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 - 8: 00100d93 li s11,1 - c: 00100d13 li s10,1 + 0: 10005073 csrwi sstatus,0 + 4: 1001d073 csrwi sstatus,3 -00000010 : - 10: 0000006f j 10 +00000008 : + 8: 0000006f j 8 ... Disassembly of section .tohost: @@ -25,14 +23,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-simple.mem b/tests/isa/generated/rv32ui-p-simple.mem index b4f9401..bdbb725 100644 --- a/tests/isa/generated/rv32ui-p-simple.mem +++ b/tests/isa/generated/rv32ui-p-simple.mem @@ -1,7 +1,5 @@ -00000d13 -00000d93 -00100d93 -00100d13 +10005073 +1001d073 0000006f 00000000 00000000 @@ -48,3 +46,5 @@ 00000000 00000000 00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-simple.verilog b/tests/isa/generated/rv32ui-p-simple.verilog index 0b3b3d7..7f6eb6e 100755 --- a/tests/isa/generated/rv32ui-p-simple.verilog +++ b/tests/isa/generated/rv32ui-p-simple.verilog @@ -1,6 +1,6 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sll b/tests/isa/generated/rv32ui-p-sll index 68115989d2f4740cae5f33d21f957e13b06fec7f..7dd2595991e720ee4057514cc993cf85f02eaa9c 100755 GIT binary patch delta 831 zcmYk)KS%;$7zXh7t23lObWjw=B}zgLK~@q91s2w1P#{qxq}38cik3JC5;!^}*U;b? z{9mI36Ou$Pm;TEh1`Q%^?CH+KejJhX!wQ=iNZnh8Jr-;;1lvOoHWC(+p&wiLNtHhd~=PFsKkJN_0qw3X>oRbZBf)u0hD5 zq0vFHv7w=%p`oEM$f2>pp`oFnuZ!^e!@c+YzB_n#aJ5)9RvuNQX5g*grT2+gxLQun zisto(ZRmX-Ih5ZU9ftGbf_@5w-lu^i*!@C|pU8Smsc)=tB87N>-If zO6yuj9Q~&#@gqmc0puh(guF+dL@tqMk*nl{NKqP8n8=UhW#l$_4f%__h3v&k|BaF? zLX^A@r^p4kKt99yQl{b@4>ZUZ@H6=m?vQJ++kwR%B{$fE&Y?24z0@;AC$wBy; zJOK;+QxSNu>m`Y!2z3iF;V5|%&XSkmUGfIJZzX&Oz3YpG(@e6}^zAs5-x@H+RM46+ R#?_6rZH#Mk!y@x&^&h|fM$7;J diff --git a/tests/isa/generated/rv32ui-p-sll.bin b/tests/isa/generated/rv32ui-p-sll.bin index f416129e98d428a308dd224af54815b9563be92b..ab2f72296e0a2ccfdd45331cab0e6fa45ed9f6d5 100755 GIT binary patch delta 38 scmeC+>EK~04qy=2$l=Q>AZEZ&e1SnApMe2LGYaHSE@YiPxq(#x0I-Y+t^fc4 delta 45 rcmeC+>EPiI=4D`*48$8*d|AaM48Uw*UIB)D29US_1Bg92fprc5ps)uD diff --git a/tests/isa/generated/rv32ui-p-sll.dump b/tests/isa/generated/rv32ui-p-sll.dump index 7f736c4..c17d818 100644 --- a/tests/isa/generated/rv32ui-p-sll.dump +++ b/tests/isa/generated/rv32ui-p-sll.dump @@ -5,444 +5,441 @@ generated/rv32ui-p-sll: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00100093 li ra,1 - c: 00000113 li sp,0 - 10: 00209f33 sll t5,ra,sp - 14: 00100e93 li t4,1 - 18: 00200193 li gp,2 - 1c: 53df1e63 bne t5,t4,558 +00000004 : + 4: 00100093 li ra,1 + 8: 00000113 li sp,0 + c: 00209f33 sll t5,ra,sp + 10: 00100e93 li t4,1 + 14: 00200193 li gp,2 + 18: 53df1e63 bne t5,t4,554 -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 00209f33 sll t5,ra,sp - 2c: 00200e93 li t4,2 - 30: 00300193 li gp,3 - 34: 53df1263 bne t5,t4,558 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 00209f33 sll t5,ra,sp + 28: 00200e93 li t4,2 + 2c: 00300193 li gp,3 + 30: 53df1263 bne t5,t4,554 -00000038 : - 38: 00100093 li ra,1 - 3c: 00700113 li sp,7 - 40: 00209f33 sll t5,ra,sp - 44: 08000e93 li t4,128 - 48: 00400193 li gp,4 - 4c: 51df1663 bne t5,t4,558 +00000034 : + 34: 00100093 li ra,1 + 38: 00700113 li sp,7 + 3c: 00209f33 sll t5,ra,sp + 40: 08000e93 li t4,128 + 44: 00400193 li gp,4 + 48: 51df1663 bne t5,t4,554 -00000050 : - 50: 00100093 li ra,1 - 54: 00e00113 li sp,14 - 58: 00209f33 sll t5,ra,sp - 5c: 00004eb7 lui t4,0x4 - 60: 00500193 li gp,5 - 64: 4fdf1a63 bne t5,t4,558 +0000004c : + 4c: 00100093 li ra,1 + 50: 00e00113 li sp,14 + 54: 00209f33 sll t5,ra,sp + 58: 00004eb7 lui t4,0x4 + 5c: 00500193 li gp,5 + 60: 4fdf1a63 bne t5,t4,554 -00000068 : - 68: 00100093 li ra,1 - 6c: 01f00113 li sp,31 - 70: 00209f33 sll t5,ra,sp - 74: 80000eb7 lui t4,0x80000 - 78: 00600193 li gp,6 - 7c: 4ddf1e63 bne t5,t4,558 +00000064 : + 64: 00100093 li ra,1 + 68: 01f00113 li sp,31 + 6c: 00209f33 sll t5,ra,sp + 70: 80000eb7 lui t4,0x80000 + 74: 00600193 li gp,6 + 78: 4ddf1e63 bne t5,t4,554 -00000080 : - 80: fff00093 li ra,-1 - 84: 00000113 li sp,0 - 88: 00209f33 sll t5,ra,sp - 8c: fff00e93 li t4,-1 - 90: 00700193 li gp,7 - 94: 4ddf1263 bne t5,t4,558 +0000007c : + 7c: fff00093 li ra,-1 + 80: 00000113 li sp,0 + 84: 00209f33 sll t5,ra,sp + 88: fff00e93 li t4,-1 + 8c: 00700193 li gp,7 + 90: 4ddf1263 bne t5,t4,554 -00000098 : - 98: fff00093 li ra,-1 - 9c: 00100113 li sp,1 - a0: 00209f33 sll t5,ra,sp - a4: ffe00e93 li t4,-2 - a8: 00800193 li gp,8 - ac: 4bdf1663 bne t5,t4,558 +00000094 : + 94: fff00093 li ra,-1 + 98: 00100113 li sp,1 + 9c: 00209f33 sll t5,ra,sp + a0: ffe00e93 li t4,-2 + a4: 00800193 li gp,8 + a8: 4bdf1663 bne t5,t4,554 -000000b0 : - b0: fff00093 li ra,-1 - b4: 00700113 li sp,7 - b8: 00209f33 sll t5,ra,sp - bc: f8000e93 li t4,-128 - c0: 00900193 li gp,9 - c4: 49df1a63 bne t5,t4,558 +000000ac : + ac: fff00093 li ra,-1 + b0: 00700113 li sp,7 + b4: 00209f33 sll t5,ra,sp + b8: f8000e93 li t4,-128 + bc: 00900193 li gp,9 + c0: 49df1a63 bne t5,t4,554 -000000c8 : - c8: fff00093 li ra,-1 - cc: 00e00113 li sp,14 - d0: 00209f33 sll t5,ra,sp - d4: ffffceb7 lui t4,0xffffc - d8: 00a00193 li gp,10 - dc: 47df1e63 bne t5,t4,558 +000000c4 : + c4: fff00093 li ra,-1 + c8: 00e00113 li sp,14 + cc: 00209f33 sll t5,ra,sp + d0: ffffceb7 lui t4,0xffffc + d4: 00a00193 li gp,10 + d8: 47df1e63 bne t5,t4,554 -000000e0 : - e0: fff00093 li ra,-1 - e4: 01f00113 li sp,31 - e8: 00209f33 sll t5,ra,sp - ec: 80000eb7 lui t4,0x80000 - f0: 00b00193 li gp,11 - f4: 47df1263 bne t5,t4,558 +000000dc : + dc: fff00093 li ra,-1 + e0: 01f00113 li sp,31 + e4: 00209f33 sll t5,ra,sp + e8: 80000eb7 lui t4,0x80000 + ec: 00b00193 li gp,11 + f0: 47df1263 bne t5,t4,554 -000000f8 : - f8: 212120b7 lui ra,0x21212 - fc: 12108093 addi ra,ra,289 # 21212121 - 100: 00000113 li sp,0 - 104: 00209f33 sll t5,ra,sp - 108: 21212eb7 lui t4,0x21212 - 10c: 121e8e93 addi t4,t4,289 # 21212121 - 110: 00c00193 li gp,12 - 114: 45df1263 bne t5,t4,558 +000000f4 : + f4: 212120b7 lui ra,0x21212 + f8: 12108093 addi ra,ra,289 # 21212121 + fc: 00000113 li sp,0 + 100: 00209f33 sll t5,ra,sp + 104: 21212eb7 lui t4,0x21212 + 108: 121e8e93 addi t4,t4,289 # 21212121 + 10c: 00c00193 li gp,12 + 110: 45df1263 bne t5,t4,554 -00000118 : - 118: 212120b7 lui ra,0x21212 - 11c: 12108093 addi ra,ra,289 # 21212121 - 120: 00100113 li sp,1 - 124: 00209f33 sll t5,ra,sp - 128: 42424eb7 lui t4,0x42424 - 12c: 242e8e93 addi t4,t4,578 # 42424242 - 130: 00d00193 li gp,13 - 134: 43df1263 bne t5,t4,558 +00000114 : + 114: 212120b7 lui ra,0x21212 + 118: 12108093 addi ra,ra,289 # 21212121 + 11c: 00100113 li sp,1 + 120: 00209f33 sll t5,ra,sp + 124: 42424eb7 lui t4,0x42424 + 128: 242e8e93 addi t4,t4,578 # 42424242 + 12c: 00d00193 li gp,13 + 130: 43df1263 bne t5,t4,554 -00000138 : - 138: 212120b7 lui ra,0x21212 - 13c: 12108093 addi ra,ra,289 # 21212121 - 140: 00700113 li sp,7 - 144: 00209f33 sll t5,ra,sp - 148: 90909eb7 lui t4,0x90909 - 14c: 080e8e93 addi t4,t4,128 # 90909080 - 150: 00e00193 li gp,14 - 154: 41df1263 bne t5,t4,558 +00000134 : + 134: 212120b7 lui ra,0x21212 + 138: 12108093 addi ra,ra,289 # 21212121 + 13c: 00700113 li sp,7 + 140: 00209f33 sll t5,ra,sp + 144: 90909eb7 lui t4,0x90909 + 148: 080e8e93 addi t4,t4,128 # 90909080 + 14c: 00e00193 li gp,14 + 150: 41df1263 bne t5,t4,554 -00000158 : - 158: 212120b7 lui ra,0x21212 - 15c: 12108093 addi ra,ra,289 # 21212121 - 160: 00e00113 li sp,14 - 164: 00209f33 sll t5,ra,sp - 168: 48484eb7 lui t4,0x48484 - 16c: 00f00193 li gp,15 - 170: 3fdf1463 bne t5,t4,558 +00000154 : + 154: 212120b7 lui ra,0x21212 + 158: 12108093 addi ra,ra,289 # 21212121 + 15c: 00e00113 li sp,14 + 160: 00209f33 sll t5,ra,sp + 164: 48484eb7 lui t4,0x48484 + 168: 00f00193 li gp,15 + 16c: 3fdf1463 bne t5,t4,554 -00000174 : - 174: 212120b7 lui ra,0x21212 - 178: 12108093 addi ra,ra,289 # 21212121 - 17c: 01f00113 li sp,31 - 180: 00209f33 sll t5,ra,sp - 184: 80000eb7 lui t4,0x80000 - 188: 01000193 li gp,16 - 18c: 3ddf1663 bne t5,t4,558 +00000170 : + 170: 212120b7 lui ra,0x21212 + 174: 12108093 addi ra,ra,289 # 21212121 + 178: 01f00113 li sp,31 + 17c: 00209f33 sll t5,ra,sp + 180: 80000eb7 lui t4,0x80000 + 184: 01000193 li gp,16 + 188: 3ddf1663 bne t5,t4,554 -00000190 : - 190: 212120b7 lui ra,0x21212 - 194: 12108093 addi ra,ra,289 # 21212121 - 198: fc000113 li sp,-64 - 19c: 00209f33 sll t5,ra,sp - 1a0: 21212eb7 lui t4,0x21212 - 1a4: 121e8e93 addi t4,t4,289 # 21212121 - 1a8: 01100193 li gp,17 - 1ac: 3bdf1663 bne t5,t4,558 +0000018c : + 18c: 212120b7 lui ra,0x21212 + 190: 12108093 addi ra,ra,289 # 21212121 + 194: fc000113 li sp,-64 + 198: 00209f33 sll t5,ra,sp + 19c: 21212eb7 lui t4,0x21212 + 1a0: 121e8e93 addi t4,t4,289 # 21212121 + 1a4: 01100193 li gp,17 + 1a8: 3bdf1663 bne t5,t4,554 -000001b0 : - 1b0: 212120b7 lui ra,0x21212 - 1b4: 12108093 addi ra,ra,289 # 21212121 - 1b8: fc100113 li sp,-63 - 1bc: 00209f33 sll t5,ra,sp - 1c0: 42424eb7 lui t4,0x42424 - 1c4: 242e8e93 addi t4,t4,578 # 42424242 - 1c8: 01200193 li gp,18 - 1cc: 39df1663 bne t5,t4,558 +000001ac : + 1ac: 212120b7 lui ra,0x21212 + 1b0: 12108093 addi ra,ra,289 # 21212121 + 1b4: fc100113 li sp,-63 + 1b8: 00209f33 sll t5,ra,sp + 1bc: 42424eb7 lui t4,0x42424 + 1c0: 242e8e93 addi t4,t4,578 # 42424242 + 1c4: 01200193 li gp,18 + 1c8: 39df1663 bne t5,t4,554 -000001d0 : - 1d0: 212120b7 lui ra,0x21212 - 1d4: 12108093 addi ra,ra,289 # 21212121 - 1d8: fc700113 li sp,-57 - 1dc: 00209f33 sll t5,ra,sp - 1e0: 90909eb7 lui t4,0x90909 - 1e4: 080e8e93 addi t4,t4,128 # 90909080 - 1e8: 01300193 li gp,19 - 1ec: 37df1663 bne t5,t4,558 +000001cc : + 1cc: 212120b7 lui ra,0x21212 + 1d0: 12108093 addi ra,ra,289 # 21212121 + 1d4: fc700113 li sp,-57 + 1d8: 00209f33 sll t5,ra,sp + 1dc: 90909eb7 lui t4,0x90909 + 1e0: 080e8e93 addi t4,t4,128 # 90909080 + 1e4: 01300193 li gp,19 + 1e8: 37df1663 bne t5,t4,554 -000001f0 : - 1f0: 212120b7 lui ra,0x21212 - 1f4: 12108093 addi ra,ra,289 # 21212121 - 1f8: fce00113 li sp,-50 - 1fc: 00209f33 sll t5,ra,sp - 200: 48484eb7 lui t4,0x48484 - 204: 01400193 li gp,20 - 208: 35df1863 bne t5,t4,558 +000001ec : + 1ec: 212120b7 lui ra,0x21212 + 1f0: 12108093 addi ra,ra,289 # 21212121 + 1f4: fce00113 li sp,-50 + 1f8: 00209f33 sll t5,ra,sp + 1fc: 48484eb7 lui t4,0x48484 + 200: 01400193 li gp,20 + 204: 35df1863 bne t5,t4,554 -0000020c : - 20c: 00100093 li ra,1 - 210: 00700113 li sp,7 - 214: 002090b3 sll ra,ra,sp - 218: 08000e93 li t4,128 - 21c: 01600193 li gp,22 - 220: 33d09c63 bne ra,t4,558 +00000208 : + 208: 00100093 li ra,1 + 20c: 00700113 li sp,7 + 210: 002090b3 sll ra,ra,sp + 214: 08000e93 li t4,128 + 218: 01600193 li gp,22 + 21c: 33d09c63 bne ra,t4,554 -00000224 : - 224: 00100093 li ra,1 - 228: 00e00113 li sp,14 - 22c: 00209133 sll sp,ra,sp - 230: 00004eb7 lui t4,0x4 - 234: 01700193 li gp,23 - 238: 33d11063 bne sp,t4,558 +00000220 : + 220: 00100093 li ra,1 + 224: 00e00113 li sp,14 + 228: 00209133 sll sp,ra,sp + 22c: 00004eb7 lui t4,0x4 + 230: 01700193 li gp,23 + 234: 33d11063 bne sp,t4,554 -0000023c : - 23c: 00300093 li ra,3 - 240: 001090b3 sll ra,ra,ra - 244: 01800e93 li t4,24 - 248: 01800193 li gp,24 - 24c: 31d09663 bne ra,t4,558 +00000238 : + 238: 00300093 li ra,3 + 23c: 001090b3 sll ra,ra,ra + 240: 01800e93 li t4,24 + 244: 01800193 li gp,24 + 248: 31d09663 bne ra,t4,554 -00000250 : - 250: 00000213 li tp,0 - 254: 00100093 li ra,1 - 258: 00700113 li sp,7 - 25c: 00209f33 sll t5,ra,sp - 260: 000f0313 mv t1,t5 - 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 268: 00200293 li t0,2 - 26c: fe5214e3 bne tp,t0,254 - 270: 08000e93 li t4,128 - 274: 01900193 li gp,25 - 278: 2fd31063 bne t1,t4,558 +0000024c : + 24c: 00000213 li tp,0 + 250: 00100093 li ra,1 + 254: 00700113 li sp,7 + 258: 00209f33 sll t5,ra,sp + 25c: 000f0313 mv t1,t5 + 260: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 264: 00200293 li t0,2 + 268: fe5214e3 bne tp,t0,250 + 26c: 08000e93 li t4,128 + 270: 01900193 li gp,25 + 274: 2fd31063 bne t1,t4,554 -0000027c : - 27c: 00000213 li tp,0 - 280: 00100093 li ra,1 - 284: 00e00113 li sp,14 - 288: 00209f33 sll t5,ra,sp - 28c: 00000013 nop - 290: 000f0313 mv t1,t5 - 294: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 298: 00200293 li t0,2 - 29c: fe5212e3 bne tp,t0,280 - 2a0: 00004eb7 lui t4,0x4 - 2a4: 01a00193 li gp,26 - 2a8: 2bd31863 bne t1,t4,558 +00000278 : + 278: 00000213 li tp,0 + 27c: 00100093 li ra,1 + 280: 00e00113 li sp,14 + 284: 00209f33 sll t5,ra,sp + 288: 00000013 nop + 28c: 000f0313 mv t1,t5 + 290: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 294: 00200293 li t0,2 + 298: fe5212e3 bne tp,t0,27c + 29c: 00004eb7 lui t4,0x4 + 2a0: 01a00193 li gp,26 + 2a4: 2bd31863 bne t1,t4,554 -000002ac : - 2ac: 00000213 li tp,0 - 2b0: 00100093 li ra,1 - 2b4: 01f00113 li sp,31 - 2b8: 00209f33 sll t5,ra,sp +000002a8 : + 2a8: 00000213 li tp,0 + 2ac: 00100093 li ra,1 + 2b0: 01f00113 li sp,31 + 2b4: 00209f33 sll t5,ra,sp + 2b8: 00000013 nop 2bc: 00000013 nop - 2c0: 00000013 nop - 2c4: 000f0313 mv t1,t5 - 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2cc: 00200293 li t0,2 - 2d0: fe5210e3 bne tp,t0,2b0 - 2d4: 80000eb7 lui t4,0x80000 - 2d8: 01b00193 li gp,27 - 2dc: 27d31e63 bne t1,t4,558 + 2c0: 000f0313 mv t1,t5 + 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c8: 00200293 li t0,2 + 2cc: fe5210e3 bne tp,t0,2ac + 2d0: 80000eb7 lui t4,0x80000 + 2d4: 01b00193 li gp,27 + 2d8: 27d31e63 bne t1,t4,554 -000002e0 : - 2e0: 00000213 li tp,0 - 2e4: 00100093 li ra,1 - 2e8: 00700113 li sp,7 - 2ec: 00209f33 sll t5,ra,sp - 2f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f4: 00200293 li t0,2 - 2f8: fe5216e3 bne tp,t0,2e4 - 2fc: 08000e93 li t4,128 - 300: 01c00193 li gp,28 - 304: 25df1a63 bne t5,t4,558 +000002dc : + 2dc: 00000213 li tp,0 + 2e0: 00100093 li ra,1 + 2e4: 00700113 li sp,7 + 2e8: 00209f33 sll t5,ra,sp + 2ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2f0: 00200293 li t0,2 + 2f4: fe5216e3 bne tp,t0,2e0 + 2f8: 08000e93 li t4,128 + 2fc: 01c00193 li gp,28 + 300: 25df1a63 bne t5,t4,554 -00000308 : - 308: 00000213 li tp,0 - 30c: 00100093 li ra,1 - 310: 00e00113 li sp,14 - 314: 00000013 nop - 318: 00209f33 sll t5,ra,sp - 31c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 320: 00200293 li t0,2 - 324: fe5214e3 bne tp,t0,30c - 328: 00004eb7 lui t4,0x4 - 32c: 01d00193 li gp,29 - 330: 23df1463 bne t5,t4,558 +00000304 : + 304: 00000213 li tp,0 + 308: 00100093 li ra,1 + 30c: 00e00113 li sp,14 + 310: 00000013 nop + 314: 00209f33 sll t5,ra,sp + 318: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 31c: 00200293 li t0,2 + 320: fe5214e3 bne tp,t0,308 + 324: 00004eb7 lui t4,0x4 + 328: 01d00193 li gp,29 + 32c: 23df1463 bne t5,t4,554 -00000334 : - 334: 00000213 li tp,0 - 338: 00100093 li ra,1 - 33c: 01f00113 li sp,31 +00000330 : + 330: 00000213 li tp,0 + 334: 00100093 li ra,1 + 338: 01f00113 li sp,31 + 33c: 00000013 nop 340: 00000013 nop - 344: 00000013 nop - 348: 00209f33 sll t5,ra,sp - 34c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 350: 00200293 li t0,2 - 354: fe5212e3 bne tp,t0,338 - 358: 80000eb7 lui t4,0x80000 - 35c: 01e00193 li gp,30 - 360: 1fdf1c63 bne t5,t4,558 + 344: 00209f33 sll t5,ra,sp + 348: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 34c: 00200293 li t0,2 + 350: fe5212e3 bne tp,t0,334 + 354: 80000eb7 lui t4,0x80000 + 358: 01e00193 li gp,30 + 35c: 1fdf1c63 bne t5,t4,554 -00000364 : - 364: 00000213 li tp,0 - 368: 00100093 li ra,1 - 36c: 00000013 nop - 370: 00700113 li sp,7 - 374: 00209f33 sll t5,ra,sp - 378: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 37c: 00200293 li t0,2 - 380: fe5214e3 bne tp,t0,368 - 384: 08000e93 li t4,128 - 388: 01f00193 li gp,31 - 38c: 1ddf1663 bne t5,t4,558 +00000360 : + 360: 00000213 li tp,0 + 364: 00100093 li ra,1 + 368: 00000013 nop + 36c: 00700113 li sp,7 + 370: 00209f33 sll t5,ra,sp + 374: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 378: 00200293 li t0,2 + 37c: fe5214e3 bne tp,t0,364 + 380: 08000e93 li t4,128 + 384: 01f00193 li gp,31 + 388: 1ddf1663 bne t5,t4,554 -00000390 : - 390: 00000213 li tp,0 - 394: 00100093 li ra,1 - 398: 00000013 nop - 39c: 00e00113 li sp,14 - 3a0: 00000013 nop - 3a4: 00209f33 sll t5,ra,sp - 3a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3ac: 00200293 li t0,2 - 3b0: fe5212e3 bne tp,t0,394 - 3b4: 00004eb7 lui t4,0x4 - 3b8: 02000193 li gp,32 - 3bc: 19df1e63 bne t5,t4,558 +0000038c : + 38c: 00000213 li tp,0 + 390: 00100093 li ra,1 + 394: 00000013 nop + 398: 00e00113 li sp,14 + 39c: 00000013 nop + 3a0: 00209f33 sll t5,ra,sp + 3a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3a8: 00200293 li t0,2 + 3ac: fe5212e3 bne tp,t0,390 + 3b0: 00004eb7 lui t4,0x4 + 3b4: 02000193 li gp,32 + 3b8: 19df1e63 bne t5,t4,554 -000003c0 : - 3c0: 00000213 li tp,0 - 3c4: 00100093 li ra,1 +000003bc : + 3bc: 00000213 li tp,0 + 3c0: 00100093 li ra,1 + 3c4: 00000013 nop 3c8: 00000013 nop - 3cc: 00000013 nop - 3d0: 01f00113 li sp,31 - 3d4: 00209f33 sll t5,ra,sp - 3d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3dc: 00200293 li t0,2 - 3e0: fe5212e3 bne tp,t0,3c4 - 3e4: 80000eb7 lui t4,0x80000 - 3e8: 02100193 li gp,33 - 3ec: 17df1663 bne t5,t4,558 + 3cc: 01f00113 li sp,31 + 3d0: 00209f33 sll t5,ra,sp + 3d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3d8: 00200293 li t0,2 + 3dc: fe5212e3 bne tp,t0,3c0 + 3e0: 80000eb7 lui t4,0x80000 + 3e4: 02100193 li gp,33 + 3e8: 17df1663 bne t5,t4,554 -000003f0 : - 3f0: 00000213 li tp,0 - 3f4: 00700113 li sp,7 - 3f8: 00100093 li ra,1 - 3fc: 00209f33 sll t5,ra,sp - 400: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 404: 00200293 li t0,2 - 408: fe5216e3 bne tp,t0,3f4 - 40c: 08000e93 li t4,128 - 410: 02200193 li gp,34 - 414: 15df1263 bne t5,t4,558 +000003ec : + 3ec: 00000213 li tp,0 + 3f0: 00700113 li sp,7 + 3f4: 00100093 li ra,1 + 3f8: 00209f33 sll t5,ra,sp + 3fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 400: 00200293 li t0,2 + 404: fe5216e3 bne tp,t0,3f0 + 408: 08000e93 li t4,128 + 40c: 02200193 li gp,34 + 410: 15df1263 bne t5,t4,554 -00000418 : - 418: 00000213 li tp,0 - 41c: 00e00113 li sp,14 - 420: 00100093 li ra,1 - 424: 00000013 nop - 428: 00209f33 sll t5,ra,sp - 42c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 430: 00200293 li t0,2 - 434: fe5214e3 bne tp,t0,41c - 438: 00004eb7 lui t4,0x4 - 43c: 02300193 li gp,35 - 440: 11df1c63 bne t5,t4,558 +00000414 : + 414: 00000213 li tp,0 + 418: 00e00113 li sp,14 + 41c: 00100093 li ra,1 + 420: 00000013 nop + 424: 00209f33 sll t5,ra,sp + 428: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 42c: 00200293 li t0,2 + 430: fe5214e3 bne tp,t0,418 + 434: 00004eb7 lui t4,0x4 + 438: 02300193 li gp,35 + 43c: 11df1c63 bne t5,t4,554 -00000444 : - 444: 00000213 li tp,0 - 448: 01f00113 li sp,31 - 44c: 00100093 li ra,1 +00000440 : + 440: 00000213 li tp,0 + 444: 01f00113 li sp,31 + 448: 00100093 li ra,1 + 44c: 00000013 nop 450: 00000013 nop - 454: 00000013 nop - 458: 00209f33 sll t5,ra,sp - 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 460: 00200293 li t0,2 - 464: fe5212e3 bne tp,t0,448 - 468: 80000eb7 lui t4,0x80000 - 46c: 02400193 li gp,36 - 470: 0fdf1463 bne t5,t4,558 + 454: 00209f33 sll t5,ra,sp + 458: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 45c: 00200293 li t0,2 + 460: fe5212e3 bne tp,t0,444 + 464: 80000eb7 lui t4,0x80000 + 468: 02400193 li gp,36 + 46c: 0fdf1463 bne t5,t4,554 -00000474 : - 474: 00000213 li tp,0 - 478: 00700113 li sp,7 - 47c: 00000013 nop - 480: 00100093 li ra,1 - 484: 00209f33 sll t5,ra,sp - 488: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 48c: 00200293 li t0,2 - 490: fe5214e3 bne tp,t0,478 - 494: 08000e93 li t4,128 - 498: 02500193 li gp,37 - 49c: 0bdf1e63 bne t5,t4,558 +00000470 : + 470: 00000213 li tp,0 + 474: 00700113 li sp,7 + 478: 00000013 nop + 47c: 00100093 li ra,1 + 480: 00209f33 sll t5,ra,sp + 484: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 488: 00200293 li t0,2 + 48c: fe5214e3 bne tp,t0,474 + 490: 08000e93 li t4,128 + 494: 02500193 li gp,37 + 498: 0bdf1e63 bne t5,t4,554 -000004a0 : - 4a0: 00000213 li tp,0 - 4a4: 00e00113 li sp,14 - 4a8: 00000013 nop - 4ac: 00100093 li ra,1 - 4b0: 00000013 nop - 4b4: 00209f33 sll t5,ra,sp - 4b8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 4bc: 00200293 li t0,2 - 4c0: fe5212e3 bne tp,t0,4a4 - 4c4: 00004eb7 lui t4,0x4 - 4c8: 02600193 li gp,38 - 4cc: 09df1663 bne t5,t4,558 +0000049c : + 49c: 00000213 li tp,0 + 4a0: 00e00113 li sp,14 + 4a4: 00000013 nop + 4a8: 00100093 li ra,1 + 4ac: 00000013 nop + 4b0: 00209f33 sll t5,ra,sp + 4b4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 4b8: 00200293 li t0,2 + 4bc: fe5212e3 bne tp,t0,4a0 + 4c0: 00004eb7 lui t4,0x4 + 4c4: 02600193 li gp,38 + 4c8: 09df1663 bne t5,t4,554 -000004d0 : - 4d0: 00000213 li tp,0 - 4d4: 01f00113 li sp,31 +000004cc : + 4cc: 00000213 li tp,0 + 4d0: 01f00113 li sp,31 + 4d4: 00000013 nop 4d8: 00000013 nop - 4dc: 00000013 nop - 4e0: 00100093 li ra,1 - 4e4: 00209f33 sll t5,ra,sp - 4e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 4ec: 00200293 li t0,2 - 4f0: fe5212e3 bne tp,t0,4d4 - 4f4: 80000eb7 lui t4,0x80000 - 4f8: 02700193 li gp,39 - 4fc: 05df1e63 bne t5,t4,558 + 4dc: 00100093 li ra,1 + 4e0: 00209f33 sll t5,ra,sp + 4e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 4e8: 00200293 li t0,2 + 4ec: fe5212e3 bne tp,t0,4d0 + 4f0: 80000eb7 lui t4,0x80000 + 4f4: 02700193 li gp,39 + 4f8: 05df1e63 bne t5,t4,554 -00000500 : - 500: 00f00093 li ra,15 - 504: 00101133 sll sp,zero,ra - 508: 00000e93 li t4,0 - 50c: 02800193 li gp,40 - 510: 05d11463 bne sp,t4,558 +000004fc : + 4fc: 00f00093 li ra,15 + 500: 00101133 sll sp,zero,ra + 504: 00000e93 li t4,0 + 508: 02800193 li gp,40 + 50c: 05d11463 bne sp,t4,554 -00000514 : - 514: 02000093 li ra,32 - 518: 00009133 sll sp,ra,zero - 51c: 02000e93 li t4,32 - 520: 02900193 li gp,41 - 524: 03d11a63 bne sp,t4,558 +00000510 : + 510: 02000093 li ra,32 + 514: 00009133 sll sp,ra,zero + 518: 02000e93 li t4,32 + 51c: 02900193 li gp,41 + 520: 03d11a63 bne sp,t4,554 -00000528 : - 528: 000010b3 sll ra,zero,zero - 52c: 00000e93 li t4,0 - 530: 02a00193 li gp,42 - 534: 03d09263 bne ra,t4,558 +00000524 : + 524: 000010b3 sll ra,zero,zero + 528: 00000e93 li t4,0 + 52c: 02a00193 li gp,42 + 530: 03d09263 bne ra,t4,554 -00000538 : - 538: 40000093 li ra,1024 - 53c: 00001137 lui sp,0x1 - 540: 80010113 addi sp,sp,-2048 # 800 <_end+0x1f8> - 544: 00209033 sll zero,ra,sp - 548: 00000e93 li t4,0 - 54c: 02b00193 li gp,43 - 550: 01d01463 bne zero,t4,558 - 554: 00301863 bne zero,gp,564 +00000534 : + 534: 40000093 li ra,1024 + 538: 00001137 lui sp,0x1 + 53c: 80010113 addi sp,sp,-2048 # 800 <_end+0x1f8> + 540: 00209033 sll zero,ra,sp + 544: 00000e93 li t4,0 + 548: 02b00193 li gp,43 + 54c: 01d01463 bne zero,t4,554 + 550: 00301663 bne zero,gp,55c -00000558 : - 558: 00000d93 li s11,0 - 55c: 00100d13 li s10,1 +00000554 : + 554: 1000d073 csrwi sstatus,1 -00000560 : - 560: 0000006f j 560 +00000558 : + 558: 0000006f j 558 -00000564 : - 564: 00100d93 li s11,1 - 568: 00100d13 li s10,1 +0000055c : + 55c: 1001d073 csrwi sstatus,3 -0000056c : - 56c: 0000006f j 56c +00000560 : + 560: 0000006f j 560 ... Disassembly of section .tohost: @@ -456,14 +453,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sll.mem b/tests/isa/generated/rv32ui-p-sll.mem index 30e2a6a..a9b01e3 100644 --- a/tests/isa/generated/rv32ui-p-sll.mem +++ b/tests/isa/generated/rv32ui-p-sll.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00100093 00000113 00209f33 @@ -339,12 +338,10 @@ fe5212e3 00000e93 02b00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -384,3 +381,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sll.verilog b/tests/isa/generated/rv32ui-p-sll.verilog index e703a05..acbb8dc 100755 --- a/tests/isa/generated/rv32ui-p-sll.verilog +++ b/tests/isa/generated/rv32ui-p-sll.verilog @@ -1,91 +1,91 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 10 00 13 01 00 00 -33 9F 20 00 93 0E 10 00 93 01 20 00 63 1E DF 53 -93 00 10 00 13 01 10 00 33 9F 20 00 93 0E 20 00 -93 01 30 00 63 12 DF 53 93 00 10 00 13 01 70 00 -33 9F 20 00 93 0E 00 08 93 01 40 00 63 16 DF 51 -93 00 10 00 13 01 E0 00 33 9F 20 00 B7 4E 00 00 -93 01 50 00 63 1A DF 4F 93 00 10 00 13 01 F0 01 -33 9F 20 00 B7 0E 00 80 93 01 60 00 63 1E DF 4D -93 00 F0 FF 13 01 00 00 33 9F 20 00 93 0E F0 FF -93 01 70 00 63 12 DF 4D 93 00 F0 FF 13 01 10 00 -33 9F 20 00 93 0E E0 FF 93 01 80 00 63 16 DF 4B -93 00 F0 FF 13 01 70 00 33 9F 20 00 93 0E 00 F8 -93 01 90 00 63 1A DF 49 93 00 F0 FF 13 01 E0 00 -33 9F 20 00 B7 CE FF FF 93 01 A0 00 63 1E DF 47 -93 00 F0 FF 13 01 F0 01 33 9F 20 00 B7 0E 00 80 -93 01 B0 00 63 12 DF 47 B7 20 21 21 93 80 10 12 -13 01 00 00 33 9F 20 00 B7 2E 21 21 93 8E 1E 12 -93 01 C0 00 63 12 DF 45 B7 20 21 21 93 80 10 12 -13 01 10 00 33 9F 20 00 B7 4E 42 42 93 8E 2E 24 -93 01 D0 00 63 12 DF 43 B7 20 21 21 93 80 10 12 -13 01 70 00 33 9F 20 00 B7 9E 90 90 93 8E 0E 08 -93 01 E0 00 63 12 DF 41 B7 20 21 21 93 80 10 12 -13 01 E0 00 33 9F 20 00 B7 4E 48 48 93 01 F0 00 -63 14 DF 3F B7 20 21 21 93 80 10 12 13 01 F0 01 -33 9F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 3D -B7 20 21 21 93 80 10 12 13 01 00 FC 33 9F 20 00 -B7 2E 21 21 93 8E 1E 12 93 01 10 01 63 16 DF 3B -B7 20 21 21 93 80 10 12 13 01 10 FC 33 9F 20 00 -B7 4E 42 42 93 8E 2E 24 93 01 20 01 63 16 DF 39 -B7 20 21 21 93 80 10 12 13 01 70 FC 33 9F 20 00 -B7 9E 90 90 93 8E 0E 08 93 01 30 01 63 16 DF 37 -B7 20 21 21 93 80 10 12 13 01 E0 FC 33 9F 20 00 -B7 4E 48 48 93 01 40 01 63 18 DF 35 93 00 10 00 -13 01 70 00 B3 90 20 00 93 0E 00 08 93 01 60 01 -63 9C D0 33 93 00 10 00 13 01 E0 00 33 91 20 00 -B7 4E 00 00 93 01 70 01 63 10 D1 33 93 00 30 00 -B3 90 10 00 93 0E 80 01 93 01 80 01 63 96 D0 31 -13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 00 08 93 01 90 01 63 10 D3 2F 13 02 00 00 -93 00 10 00 13 01 E0 00 33 9F 20 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 4E 00 00 93 01 A0 01 63 18 D3 2B 13 02 00 00 -93 00 10 00 13 01 F0 01 33 9F 20 00 13 00 00 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E 00 80 93 01 B0 01 63 1E D3 27 -13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 -93 01 C0 01 63 1A DF 25 13 02 00 00 93 00 10 00 -13 01 E0 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 D0 01 -63 14 DF 23 13 02 00 00 93 00 10 00 13 01 F0 01 -13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 E0 01 -63 1C DF 1F 13 02 00 00 93 00 10 00 13 00 00 00 -13 01 70 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 08 93 01 F0 01 63 16 DF 1D -13 02 00 00 93 00 10 00 13 00 00 00 13 01 E0 00 +73 50 00 10 93 00 10 00 13 01 00 00 33 9F 20 00 +93 0E 10 00 93 01 20 00 63 1E DF 53 93 00 10 00 +13 01 10 00 33 9F 20 00 93 0E 20 00 93 01 30 00 +63 12 DF 53 93 00 10 00 13 01 70 00 33 9F 20 00 +93 0E 00 08 93 01 40 00 63 16 DF 51 93 00 10 00 +13 01 E0 00 33 9F 20 00 B7 4E 00 00 93 01 50 00 +63 1A DF 4F 93 00 10 00 13 01 F0 01 33 9F 20 00 +B7 0E 00 80 93 01 60 00 63 1E DF 4D 93 00 F0 FF +13 01 00 00 33 9F 20 00 93 0E F0 FF 93 01 70 00 +63 12 DF 4D 93 00 F0 FF 13 01 10 00 33 9F 20 00 +93 0E E0 FF 93 01 80 00 63 16 DF 4B 93 00 F0 FF +13 01 70 00 33 9F 20 00 93 0E 00 F8 93 01 90 00 +63 1A DF 49 93 00 F0 FF 13 01 E0 00 33 9F 20 00 +B7 CE FF FF 93 01 A0 00 63 1E DF 47 93 00 F0 FF +13 01 F0 01 33 9F 20 00 B7 0E 00 80 93 01 B0 00 +63 12 DF 47 B7 20 21 21 93 80 10 12 13 01 00 00 +33 9F 20 00 B7 2E 21 21 93 8E 1E 12 93 01 C0 00 +63 12 DF 45 B7 20 21 21 93 80 10 12 13 01 10 00 +33 9F 20 00 B7 4E 42 42 93 8E 2E 24 93 01 D0 00 +63 12 DF 43 B7 20 21 21 93 80 10 12 13 01 70 00 +33 9F 20 00 B7 9E 90 90 93 8E 0E 08 93 01 E0 00 +63 12 DF 41 B7 20 21 21 93 80 10 12 13 01 E0 00 +33 9F 20 00 B7 4E 48 48 93 01 F0 00 63 14 DF 3F +B7 20 21 21 93 80 10 12 13 01 F0 01 33 9F 20 00 +B7 0E 00 80 93 01 00 01 63 16 DF 3D B7 20 21 21 +93 80 10 12 13 01 00 FC 33 9F 20 00 B7 2E 21 21 +93 8E 1E 12 93 01 10 01 63 16 DF 3B B7 20 21 21 +93 80 10 12 13 01 10 FC 33 9F 20 00 B7 4E 42 42 +93 8E 2E 24 93 01 20 01 63 16 DF 39 B7 20 21 21 +93 80 10 12 13 01 70 FC 33 9F 20 00 B7 9E 90 90 +93 8E 0E 08 93 01 30 01 63 16 DF 37 B7 20 21 21 +93 80 10 12 13 01 E0 FC 33 9F 20 00 B7 4E 48 48 +93 01 40 01 63 18 DF 35 93 00 10 00 13 01 70 00 +B3 90 20 00 93 0E 00 08 93 01 60 01 63 9C D0 33 +93 00 10 00 13 01 E0 00 33 91 20 00 B7 4E 00 00 +93 01 70 01 63 10 D1 33 93 00 30 00 B3 90 10 00 +93 0E 80 01 93 01 80 01 63 96 D0 31 13 02 00 00 +93 00 10 00 13 01 70 00 33 9F 20 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 00 08 +93 01 90 01 63 10 D3 2F 13 02 00 00 93 00 10 00 +13 01 E0 00 33 9F 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 4E 00 00 +93 01 A0 01 63 18 D3 2B 13 02 00 00 93 00 10 00 +13 01 F0 01 33 9F 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E 00 80 93 01 B0 01 63 1E D3 27 13 02 00 00 +93 00 10 00 13 01 70 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 08 93 01 C0 01 +63 1A DF 25 13 02 00 00 93 00 10 00 13 01 E0 00 13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 4E 00 00 93 01 00 02 63 1E DF 19 -13 02 00 00 93 00 10 00 13 00 00 00 13 00 00 00 -13 01 F0 01 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 00 80 93 01 10 02 63 16 DF 17 -13 02 00 00 13 01 70 00 93 00 10 00 33 9F 20 00 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 -93 01 20 02 63 12 DF 15 13 02 00 00 13 01 E0 00 -93 00 10 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 30 02 -63 1C DF 11 13 02 00 00 13 01 F0 01 93 00 10 00 -13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 40 02 -63 14 DF 0F 13 02 00 00 13 01 70 00 13 00 00 00 -93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 08 93 01 50 02 63 1E DF 0B -13 02 00 00 13 01 E0 00 13 00 00 00 93 00 10 00 +E3 14 52 FE B7 4E 00 00 93 01 D0 01 63 14 DF 23 +13 02 00 00 93 00 10 00 13 01 F0 01 13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 4E 00 00 93 01 60 02 63 16 DF 09 -13 02 00 00 13 01 F0 01 13 00 00 00 13 00 00 00 -93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 00 80 93 01 70 02 63 1E DF 05 -93 00 F0 00 33 11 10 00 93 0E 00 00 93 01 80 02 -63 14 D1 05 93 00 00 02 33 91 00 00 93 0E 00 02 -93 01 90 02 63 1A D1 03 B3 10 00 00 93 0E 00 00 -93 01 A0 02 63 92 D0 03 93 00 00 40 37 11 00 00 -13 01 01 80 33 90 20 00 93 0E 00 00 93 01 B0 02 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +E3 12 52 FE B7 0E 00 80 93 01 E0 01 63 1C DF 1F +13 02 00 00 93 00 10 00 13 00 00 00 13 01 70 00 +33 9F 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 08 93 01 F0 01 63 16 DF 1D 13 02 00 00 +93 00 10 00 13 00 00 00 13 01 E0 00 13 00 00 00 +33 9F 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 4E 00 00 93 01 00 02 63 1E DF 19 13 02 00 00 +93 00 10 00 13 00 00 00 13 00 00 00 13 01 F0 01 +33 9F 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E 00 80 93 01 10 02 63 16 DF 17 13 02 00 00 +13 01 70 00 93 00 10 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 08 93 01 20 02 +63 12 DF 15 13 02 00 00 13 01 E0 00 93 00 10 00 +13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 4E 00 00 93 01 30 02 63 1C DF 11 +13 02 00 00 13 01 F0 01 93 00 10 00 13 00 00 00 +13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 00 80 93 01 40 02 63 14 DF 0F +13 02 00 00 13 01 70 00 13 00 00 00 93 00 10 00 +33 9F 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 08 93 01 50 02 63 1E DF 0B 13 02 00 00 +13 01 E0 00 13 00 00 00 93 00 10 00 13 00 00 00 +33 9F 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 4E 00 00 93 01 60 02 63 16 DF 09 13 02 00 00 +13 01 F0 01 13 00 00 00 13 00 00 00 93 00 10 00 +33 9F 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E 00 80 93 01 70 02 63 1E DF 05 93 00 F0 00 +33 11 10 00 93 0E 00 00 93 01 80 02 63 14 D1 05 +93 00 00 02 33 91 00 00 93 0E 00 02 93 01 90 02 +63 1A D1 03 B3 10 00 00 93 0E 00 00 93 01 A0 02 +63 92 D0 03 93 00 00 40 37 11 00 00 13 01 01 80 +33 90 20 00 93 0E 00 00 93 01 B0 02 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @000005C0 diff --git a/tests/isa/generated/rv32ui-p-slli b/tests/isa/generated/rv32ui-p-slli index 04a05be3e8607f4d17c4b35288c8dcc20fa0d038..5e9a8b7bddd79e917874b3e9658b9190d49106b5 100755 GIT binary patch delta 576 zcmYk(ze@sf7{~GF5euoH15uBlLus%<=r9YHprElqs<9!-K_ODSI0^(48X6o<&attv zC9c4sp}{4H2pXy(TBX0D&mq$1yWIQr+)wWNWDjjGt+~6*&wSJ=i4nw%PQ*ys;=GAb z7r{}DdaoQS$<{Y`AapY9fUCr0>4O?P5Guta${zbglj^fOCsXQaDBL5EWGA9(3 z1$2&_L2r_m(fi~Tbd|i0Zjm=c+Tzh*8+}9GK@Z5`!(Ye;=n=Vsj_PEfa*RxqPvIiD zh4)K|2A8;?Lhiy{avvU$ui+6n{P;QggST*jd=DRz9}5^XXz&hu8qJFf0Mj5g3TMRKSC2a{{R30 delta 568 zcmYk&ze@sf9LDj_S2Au9j}EzS5|j|=5?vPIU|VC8NHnxWM=10QGpE1;M+f8U(BM$e z;Ls8_-q7F@L<9v*5iL#r5j}SyJqHKx*K^0+aYOIX>&&U#eda4^ISCPib1-3F#I*Jz zI0;*hB)nit)aGWYucxupswcY*QAuRH)>zJ0^T*!h&a7!Ug{Fn^?@;oDXvhBTAZNxD zC26!r&Y;)HIrJ8J5xq}dMW2(`M4Hm2A$(Y$ynznLW%Mg~8$BZXXiJR;6}^0(T*sv% z`3&!uG7T-@yZ;ySB-%z}1*0q?~-%m4rY diff --git a/tests/isa/generated/rv32ui-p-slli.bin b/tests/isa/generated/rv32ui-p-slli.bin index c647c15df453495b2f96d4579b0677db7125a574..033d09d0673390735d73c924bcb1d4f00f5205d1 100755 GIT binary patch delta 37 rcmX@Xc7lziIDkQ5BS#|>znB3-@dXBfd`f8<=: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00100093 li ra,1 - c: 00009f13 slli t5,ra,0x0 - 10: 00100e93 li t4,1 - 14: 00200193 li gp,2 - 18: 27df1a63 bne t5,t4,28c +00000004 : + 4: 00100093 li ra,1 + 8: 00009f13 slli t5,ra,0x0 + c: 00100e93 li t4,1 + 10: 00200193 li gp,2 + 14: 27df1a63 bne t5,t4,288 -0000001c : - 1c: 00100093 li ra,1 - 20: 00109f13 slli t5,ra,0x1 - 24: 00200e93 li t4,2 - 28: 00300193 li gp,3 - 2c: 27df1063 bne t5,t4,28c +00000018 : + 18: 00100093 li ra,1 + 1c: 00109f13 slli t5,ra,0x1 + 20: 00200e93 li t4,2 + 24: 00300193 li gp,3 + 28: 27df1063 bne t5,t4,288 -00000030 : - 30: 00100093 li ra,1 - 34: 00709f13 slli t5,ra,0x7 - 38: 08000e93 li t4,128 - 3c: 00400193 li gp,4 - 40: 25df1663 bne t5,t4,28c +0000002c : + 2c: 00100093 li ra,1 + 30: 00709f13 slli t5,ra,0x7 + 34: 08000e93 li t4,128 + 38: 00400193 li gp,4 + 3c: 25df1663 bne t5,t4,288 -00000044 : - 44: 00100093 li ra,1 - 48: 00e09f13 slli t5,ra,0xe - 4c: 00004eb7 lui t4,0x4 - 50: 00500193 li gp,5 - 54: 23df1c63 bne t5,t4,28c +00000040 : + 40: 00100093 li ra,1 + 44: 00e09f13 slli t5,ra,0xe + 48: 00004eb7 lui t4,0x4 + 4c: 00500193 li gp,5 + 50: 23df1c63 bne t5,t4,288 -00000058 : - 58: 00100093 li ra,1 - 5c: 01f09f13 slli t5,ra,0x1f - 60: 80000eb7 lui t4,0x80000 - 64: 00600193 li gp,6 - 68: 23df1263 bne t5,t4,28c +00000054 : + 54: 00100093 li ra,1 + 58: 01f09f13 slli t5,ra,0x1f + 5c: 80000eb7 lui t4,0x80000 + 60: 00600193 li gp,6 + 64: 23df1263 bne t5,t4,288 -0000006c : - 6c: fff00093 li ra,-1 - 70: 00009f13 slli t5,ra,0x0 - 74: fff00e93 li t4,-1 - 78: 00700193 li gp,7 - 7c: 21df1863 bne t5,t4,28c +00000068 : + 68: fff00093 li ra,-1 + 6c: 00009f13 slli t5,ra,0x0 + 70: fff00e93 li t4,-1 + 74: 00700193 li gp,7 + 78: 21df1863 bne t5,t4,288 -00000080 : - 80: fff00093 li ra,-1 - 84: 00109f13 slli t5,ra,0x1 - 88: ffe00e93 li t4,-2 - 8c: 00800193 li gp,8 - 90: 1fdf1e63 bne t5,t4,28c +0000007c : + 7c: fff00093 li ra,-1 + 80: 00109f13 slli t5,ra,0x1 + 84: ffe00e93 li t4,-2 + 88: 00800193 li gp,8 + 8c: 1fdf1e63 bne t5,t4,288 -00000094 : - 94: fff00093 li ra,-1 - 98: 00709f13 slli t5,ra,0x7 - 9c: f8000e93 li t4,-128 - a0: 00900193 li gp,9 - a4: 1fdf1463 bne t5,t4,28c +00000090 : + 90: fff00093 li ra,-1 + 94: 00709f13 slli t5,ra,0x7 + 98: f8000e93 li t4,-128 + 9c: 00900193 li gp,9 + a0: 1fdf1463 bne t5,t4,288 -000000a8 : - a8: fff00093 li ra,-1 - ac: 00e09f13 slli t5,ra,0xe - b0: ffffceb7 lui t4,0xffffc - b4: 00a00193 li gp,10 - b8: 1ddf1a63 bne t5,t4,28c +000000a4 : + a4: fff00093 li ra,-1 + a8: 00e09f13 slli t5,ra,0xe + ac: ffffceb7 lui t4,0xffffc + b0: 00a00193 li gp,10 + b4: 1ddf1a63 bne t5,t4,288 -000000bc : - bc: fff00093 li ra,-1 - c0: 01f09f13 slli t5,ra,0x1f - c4: 80000eb7 lui t4,0x80000 - c8: 00b00193 li gp,11 - cc: 1ddf1063 bne t5,t4,28c +000000b8 : + b8: fff00093 li ra,-1 + bc: 01f09f13 slli t5,ra,0x1f + c0: 80000eb7 lui t4,0x80000 + c4: 00b00193 li gp,11 + c8: 1ddf1063 bne t5,t4,288 -000000d0 : - d0: 212120b7 lui ra,0x21212 - d4: 12108093 addi ra,ra,289 # 21212121 - d8: 00009f13 slli t5,ra,0x0 - dc: 21212eb7 lui t4,0x21212 - e0: 121e8e93 addi t4,t4,289 # 21212121 - e4: 00c00193 li gp,12 - e8: 1bdf1263 bne t5,t4,28c +000000cc : + cc: 212120b7 lui ra,0x21212 + d0: 12108093 addi ra,ra,289 # 21212121 + d4: 00009f13 slli t5,ra,0x0 + d8: 21212eb7 lui t4,0x21212 + dc: 121e8e93 addi t4,t4,289 # 21212121 + e0: 00c00193 li gp,12 + e4: 1bdf1263 bne t5,t4,288 -000000ec : - ec: 212120b7 lui ra,0x21212 - f0: 12108093 addi ra,ra,289 # 21212121 - f4: 00109f13 slli t5,ra,0x1 - f8: 42424eb7 lui t4,0x42424 - fc: 242e8e93 addi t4,t4,578 # 42424242 - 100: 00d00193 li gp,13 - 104: 19df1463 bne t5,t4,28c +000000e8 : + e8: 212120b7 lui ra,0x21212 + ec: 12108093 addi ra,ra,289 # 21212121 + f0: 00109f13 slli t5,ra,0x1 + f4: 42424eb7 lui t4,0x42424 + f8: 242e8e93 addi t4,t4,578 # 42424242 + fc: 00d00193 li gp,13 + 100: 19df1463 bne t5,t4,288 -00000108 : - 108: 212120b7 lui ra,0x21212 - 10c: 12108093 addi ra,ra,289 # 21212121 - 110: 00709f13 slli t5,ra,0x7 - 114: 90909eb7 lui t4,0x90909 - 118: 080e8e93 addi t4,t4,128 # 90909080 - 11c: 00e00193 li gp,14 - 120: 17df1663 bne t5,t4,28c +00000104 : + 104: 212120b7 lui ra,0x21212 + 108: 12108093 addi ra,ra,289 # 21212121 + 10c: 00709f13 slli t5,ra,0x7 + 110: 90909eb7 lui t4,0x90909 + 114: 080e8e93 addi t4,t4,128 # 90909080 + 118: 00e00193 li gp,14 + 11c: 17df1663 bne t5,t4,288 -00000124 : - 124: 212120b7 lui ra,0x21212 - 128: 12108093 addi ra,ra,289 # 21212121 - 12c: 00e09f13 slli t5,ra,0xe - 130: 48484eb7 lui t4,0x48484 - 134: 00f00193 li gp,15 - 138: 15df1a63 bne t5,t4,28c +00000120 : + 120: 212120b7 lui ra,0x21212 + 124: 12108093 addi ra,ra,289 # 21212121 + 128: 00e09f13 slli t5,ra,0xe + 12c: 48484eb7 lui t4,0x48484 + 130: 00f00193 li gp,15 + 134: 15df1a63 bne t5,t4,288 -0000013c : - 13c: 212120b7 lui ra,0x21212 - 140: 12108093 addi ra,ra,289 # 21212121 - 144: 01f09f13 slli t5,ra,0x1f - 148: 80000eb7 lui t4,0x80000 - 14c: 01000193 li gp,16 - 150: 13df1e63 bne t5,t4,28c +00000138 : + 138: 212120b7 lui ra,0x21212 + 13c: 12108093 addi ra,ra,289 # 21212121 + 140: 01f09f13 slli t5,ra,0x1f + 144: 80000eb7 lui t4,0x80000 + 148: 01000193 li gp,16 + 14c: 13df1e63 bne t5,t4,288 -00000154 : - 154: 00100093 li ra,1 - 158: 00709093 slli ra,ra,0x7 - 15c: 08000e93 li t4,128 - 160: 01100193 li gp,17 - 164: 13d09463 bne ra,t4,28c +00000150 : + 150: 00100093 li ra,1 + 154: 00709093 slli ra,ra,0x7 + 158: 08000e93 li t4,128 + 15c: 01100193 li gp,17 + 160: 13d09463 bne ra,t4,288 -00000168 : - 168: 00000213 li tp,0 - 16c: 00100093 li ra,1 - 170: 00709f13 slli t5,ra,0x7 - 174: 000f0313 mv t1,t5 - 178: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 17c: 00200293 li t0,2 - 180: fe5216e3 bne tp,t0,16c - 184: 08000e93 li t4,128 - 188: 01200193 li gp,18 - 18c: 11d31063 bne t1,t4,28c +00000164 : + 164: 00000213 li tp,0 + 168: 00100093 li ra,1 + 16c: 00709f13 slli t5,ra,0x7 + 170: 000f0313 mv t1,t5 + 174: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 178: 00200293 li t0,2 + 17c: fe5216e3 bne tp,t0,168 + 180: 08000e93 li t4,128 + 184: 01200193 li gp,18 + 188: 11d31063 bne t1,t4,288 -00000190 : - 190: 00000213 li tp,0 - 194: 00100093 li ra,1 - 198: 00e09f13 slli t5,ra,0xe - 19c: 00000013 nop - 1a0: 000f0313 mv t1,t5 - 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a8: 00200293 li t0,2 - 1ac: fe5214e3 bne tp,t0,194 - 1b0: 00004eb7 lui t4,0x4 - 1b4: 01300193 li gp,19 - 1b8: 0dd31a63 bne t1,t4,28c +0000018c : + 18c: 00000213 li tp,0 + 190: 00100093 li ra,1 + 194: 00e09f13 slli t5,ra,0xe + 198: 00000013 nop + 19c: 000f0313 mv t1,t5 + 1a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a4: 00200293 li t0,2 + 1a8: fe5214e3 bne tp,t0,190 + 1ac: 00004eb7 lui t4,0x4 + 1b0: 01300193 li gp,19 + 1b4: 0dd31a63 bne t1,t4,288 -000001bc : - 1bc: 00000213 li tp,0 - 1c0: 00100093 li ra,1 - 1c4: 01f09f13 slli t5,ra,0x1f +000001b8 : + 1b8: 00000213 li tp,0 + 1bc: 00100093 li ra,1 + 1c0: 01f09f13 slli t5,ra,0x1f + 1c4: 00000013 nop 1c8: 00000013 nop - 1cc: 00000013 nop - 1d0: 000f0313 mv t1,t5 - 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d8: 00200293 li t0,2 - 1dc: fe5212e3 bne tp,t0,1c0 - 1e0: 80000eb7 lui t4,0x80000 - 1e4: 01400193 li gp,20 - 1e8: 0bd31263 bne t1,t4,28c + 1cc: 000f0313 mv t1,t5 + 1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d4: 00200293 li t0,2 + 1d8: fe5212e3 bne tp,t0,1bc + 1dc: 80000eb7 lui t4,0x80000 + 1e0: 01400193 li gp,20 + 1e4: 0bd31263 bne t1,t4,288 -000001ec : - 1ec: 00000213 li tp,0 - 1f0: 00100093 li ra,1 - 1f4: 00709f13 slli t5,ra,0x7 - 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1fc: 00200293 li t0,2 - 200: fe5218e3 bne tp,t0,1f0 - 204: 08000e93 li t4,128 - 208: 01500193 li gp,21 - 20c: 09df1063 bne t5,t4,28c +000001e8 : + 1e8: 00000213 li tp,0 + 1ec: 00100093 li ra,1 + 1f0: 00709f13 slli t5,ra,0x7 + 1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1f8: 00200293 li t0,2 + 1fc: fe5218e3 bne tp,t0,1ec + 200: 08000e93 li t4,128 + 204: 01500193 li gp,21 + 208: 09df1063 bne t5,t4,288 -00000210 : - 210: 00000213 li tp,0 - 214: 00100093 li ra,1 - 218: 00000013 nop - 21c: 00e09f13 slli t5,ra,0xe - 220: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 224: 00200293 li t0,2 - 228: fe5216e3 bne tp,t0,214 - 22c: 00004eb7 lui t4,0x4 - 230: 01600193 li gp,22 - 234: 05df1c63 bne t5,t4,28c +0000020c : + 20c: 00000213 li tp,0 + 210: 00100093 li ra,1 + 214: 00000013 nop + 218: 00e09f13 slli t5,ra,0xe + 21c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 220: 00200293 li t0,2 + 224: fe5216e3 bne tp,t0,210 + 228: 00004eb7 lui t4,0x4 + 22c: 01600193 li gp,22 + 230: 05df1c63 bne t5,t4,288 -00000238 : - 238: 00000213 li tp,0 - 23c: 00100093 li ra,1 +00000234 : + 234: 00000213 li tp,0 + 238: 00100093 li ra,1 + 23c: 00000013 nop 240: 00000013 nop - 244: 00000013 nop - 248: 01f09f13 slli t5,ra,0x1f - 24c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 250: 00200293 li t0,2 - 254: fe5214e3 bne tp,t0,23c - 258: 80000eb7 lui t4,0x80000 - 25c: 01700193 li gp,23 - 260: 03df1663 bne t5,t4,28c + 244: 01f09f13 slli t5,ra,0x1f + 248: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 24c: 00200293 li t0,2 + 250: fe5214e3 bne tp,t0,238 + 254: 80000eb7 lui t4,0x80000 + 258: 01700193 li gp,23 + 25c: 03df1663 bne t5,t4,288 -00000264 : - 264: 01f01093 slli ra,zero,0x1f - 268: 00000e93 li t4,0 - 26c: 01800193 li gp,24 - 270: 01d09e63 bne ra,t4,28c +00000260 : + 260: 01f01093 slli ra,zero,0x1f + 264: 00000e93 li t4,0 + 268: 01800193 li gp,24 + 26c: 01d09e63 bne ra,t4,288 -00000274 : - 274: 02100093 li ra,33 - 278: 01409013 slli zero,ra,0x14 - 27c: 00000e93 li t4,0 - 280: 01900193 li gp,25 - 284: 01d01463 bne zero,t4,28c - 288: 00301863 bne zero,gp,298 +00000270 : + 270: 02100093 li ra,33 + 274: 01409013 slli zero,ra,0x14 + 278: 00000e93 li t4,0 + 27c: 01900193 li gp,25 + 280: 01d01463 bne zero,t4,288 + 284: 00301663 bne zero,gp,290 -0000028c : - 28c: 00000d93 li s11,0 - 290: 00100d13 li s10,1 +00000288 : + 288: 1000d073 csrwi sstatus,1 -00000294 : - 294: 0000006f j 294 +0000028c : + 28c: 0000006f j 28c -00000298 : - 298: 00100d93 li s11,1 - 29c: 00100d13 li s10,1 +00000290 : + 290: 1001d073 csrwi sstatus,3 -000002a0 : - 2a0: 0000006f j 2a0 +00000294 : + 294: 0000006f j 294 ... Disassembly of section .tohost: @@ -243,14 +240,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-slli.mem b/tests/isa/generated/rv32ui-p-slli.mem index 65c65a9..73542e9 100644 --- a/tests/isa/generated/rv32ui-p-slli.mem +++ b/tests/isa/generated/rv32ui-p-slli.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00100093 00009f13 00100e93 @@ -160,12 +159,10 @@ fe5214e3 00000e93 01900193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -208,3 +205,6 @@ fe5214e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slli.verilog b/tests/isa/generated/rv32ui-p-slli.verilog index 1135f39..6e12b3f 100755 --- a/tests/isa/generated/rv32ui-p-slli.verilog +++ b/tests/isa/generated/rv32ui-p-slli.verilog @@ -1,47 +1,47 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 10 00 13 9F 00 00 -93 0E 10 00 93 01 20 00 63 1A DF 27 93 00 10 00 -13 9F 10 00 93 0E 20 00 93 01 30 00 63 10 DF 27 -93 00 10 00 13 9F 70 00 93 0E 00 08 93 01 40 00 -63 16 DF 25 93 00 10 00 13 9F E0 00 B7 4E 00 00 -93 01 50 00 63 1C DF 23 93 00 10 00 13 9F F0 01 -B7 0E 00 80 93 01 60 00 63 12 DF 23 93 00 F0 FF -13 9F 00 00 93 0E F0 FF 93 01 70 00 63 18 DF 21 -93 00 F0 FF 13 9F 10 00 93 0E E0 FF 93 01 80 00 -63 1E DF 1F 93 00 F0 FF 13 9F 70 00 93 0E 00 F8 -93 01 90 00 63 14 DF 1F 93 00 F0 FF 13 9F E0 00 -B7 CE FF FF 93 01 A0 00 63 1A DF 1D 93 00 F0 FF -13 9F F0 01 B7 0E 00 80 93 01 B0 00 63 10 DF 1D -B7 20 21 21 93 80 10 12 13 9F 00 00 B7 2E 21 21 -93 8E 1E 12 93 01 C0 00 63 12 DF 1B B7 20 21 21 -93 80 10 12 13 9F 10 00 B7 4E 42 42 93 8E 2E 24 -93 01 D0 00 63 14 DF 19 B7 20 21 21 93 80 10 12 -13 9F 70 00 B7 9E 90 90 93 8E 0E 08 93 01 E0 00 -63 16 DF 17 B7 20 21 21 93 80 10 12 13 9F E0 00 -B7 4E 48 48 93 01 F0 00 63 1A DF 15 B7 20 21 21 -93 80 10 12 13 9F F0 01 B7 0E 00 80 93 01 00 01 -63 1E DF 13 93 00 10 00 93 90 70 00 93 0E 00 08 -93 01 10 01 63 94 D0 13 13 02 00 00 93 00 10 00 -13 9F 70 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 16 52 FE 93 0E 00 08 93 01 20 01 63 10 D3 11 -13 02 00 00 93 00 10 00 13 9F E0 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 4E 00 00 93 01 30 01 63 1A D3 0D 13 02 00 00 -93 00 10 00 13 9F F0 01 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E 00 80 93 01 40 01 63 12 D3 0B 13 02 00 00 -93 00 10 00 13 9F 70 00 13 02 12 00 93 02 20 00 -E3 18 52 FE 93 0E 00 08 93 01 50 01 63 10 DF 09 -13 02 00 00 93 00 10 00 13 00 00 00 13 9F E0 00 -13 02 12 00 93 02 20 00 E3 16 52 FE B7 4E 00 00 -93 01 60 01 63 1C DF 05 13 02 00 00 93 00 10 00 -13 00 00 00 13 00 00 00 13 9F F0 01 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 80 93 01 70 01 -63 16 DF 03 93 10 F0 01 93 0E 00 00 93 01 80 01 -63 9E D0 01 93 00 10 02 13 90 40 01 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 00 10 00 13 9F 00 00 93 0E 10 00 +93 01 20 00 63 1A DF 27 93 00 10 00 13 9F 10 00 +93 0E 20 00 93 01 30 00 63 10 DF 27 93 00 10 00 +13 9F 70 00 93 0E 00 08 93 01 40 00 63 16 DF 25 +93 00 10 00 13 9F E0 00 B7 4E 00 00 93 01 50 00 +63 1C DF 23 93 00 10 00 13 9F F0 01 B7 0E 00 80 +93 01 60 00 63 12 DF 23 93 00 F0 FF 13 9F 00 00 +93 0E F0 FF 93 01 70 00 63 18 DF 21 93 00 F0 FF +13 9F 10 00 93 0E E0 FF 93 01 80 00 63 1E DF 1F +93 00 F0 FF 13 9F 70 00 93 0E 00 F8 93 01 90 00 +63 14 DF 1F 93 00 F0 FF 13 9F E0 00 B7 CE FF FF +93 01 A0 00 63 1A DF 1D 93 00 F0 FF 13 9F F0 01 +B7 0E 00 80 93 01 B0 00 63 10 DF 1D B7 20 21 21 +93 80 10 12 13 9F 00 00 B7 2E 21 21 93 8E 1E 12 +93 01 C0 00 63 12 DF 1B B7 20 21 21 93 80 10 12 +13 9F 10 00 B7 4E 42 42 93 8E 2E 24 93 01 D0 00 +63 14 DF 19 B7 20 21 21 93 80 10 12 13 9F 70 00 +B7 9E 90 90 93 8E 0E 08 93 01 E0 00 63 16 DF 17 +B7 20 21 21 93 80 10 12 13 9F E0 00 B7 4E 48 48 +93 01 F0 00 63 1A DF 15 B7 20 21 21 93 80 10 12 +13 9F F0 01 B7 0E 00 80 93 01 00 01 63 1E DF 13 +93 00 10 00 93 90 70 00 93 0E 00 08 93 01 10 01 +63 94 D0 13 13 02 00 00 93 00 10 00 13 9F 70 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 00 08 93 01 20 01 63 10 D3 11 13 02 00 00 +93 00 10 00 13 9F E0 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 4E 00 00 +93 01 30 01 63 1A D3 0D 13 02 00 00 93 00 10 00 +13 9F F0 01 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E 00 80 +93 01 40 01 63 12 D3 0B 13 02 00 00 93 00 10 00 +13 9F 70 00 13 02 12 00 93 02 20 00 E3 18 52 FE +93 0E 00 08 93 01 50 01 63 10 DF 09 13 02 00 00 +93 00 10 00 13 00 00 00 13 9F E0 00 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 4E 00 00 93 01 60 01 +63 1C DF 05 13 02 00 00 93 00 10 00 13 00 00 00 +13 00 00 00 13 9F F0 01 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 00 80 93 01 70 01 63 16 DF 03 +93 10 F0 01 93 0E 00 00 93 01 80 01 63 9E D0 01 +93 00 10 02 13 90 40 01 93 0E 00 00 93 01 90 01 +63 14 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000300 diff --git a/tests/isa/generated/rv32ui-p-slt b/tests/isa/generated/rv32ui-p-slt index 078e4c5ffd8685c20b1087ff72f644a30a6c74fb..d08a62df9db940cf87fbc61632c3011da9050100 100755 GIT binary patch delta 767 zcmYk&JxD@P7zW_)*iEE@F7zj9q9oK%WIt3;5&aAe4gEMYv{(%ZqLC2=f(?!h%58Kg zaA*)JbZBsBC~$CaaA*)(92#tMZ0Pk$^?t)S@AI8|?>Xl_&lTp9Zk2iRd~|EkEzxr} z{Y}&ReG)B;9udK;^hhf3;941iPJ_|Ib5T8r?WgC{Q^BbdpV(AKXDZ+_Ui#+a8dh4R zWKxNg{u)Y}Z#jRLMadxY4B3OcNghQ$B2OU4$g{{davE-sGqBf!!7OE7ZjYQt|B76| z{*tA}D_kX)U|Smovy@aY&y%b0F}VgO$#u9uZoqYN{2L9shQTal{@x987jAb!?uD<( zPWYDWg&)ZaaD_Yum;Y^*Sv1~_OMBS5x)a(BujRh%%NFG_#vQ}z-q?1Gs88b(R&WlxTRHIN1*8$wP37?1O9M z{^w|t=in}R0)G0pRU#;Q#)-aXU0pv6uOu2D`r?4{7}MS{RWmldL4{p!(6WWUFNW6r E1LiqNg#Z8m diff --git a/tests/isa/generated/rv32ui-p-slt.bin b/tests/isa/generated/rv32ui-p-slt.bin index 0048e69bae632f62bf3de34c7f5c6c3d2fdcfbdd..60fc1e78c743b4581a4bc4f9225fe5cf6c1240b9 100755 GIT binary patch delta 37 rcmeC+?%-xA4qy=2$Z?cKK+J%l_yU7KJ_7@gW)#Su{E=n)BvuIkw8RQ2 delta 46 rcmeC+?%?JS=4D`*48$8*j: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 0020af33 slt t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4bdf1a63 bne t5,t4,4d0 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 0020af33 slt t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4bdf1a63 bne t5,t4,4cc -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 0020af33 slt t5,ra,sp - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 49df1e63 bne t5,t4,4d0 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 0020af33 slt t5,ra,sp + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 49df1e63 bne t5,t4,4cc -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 0020af33 slt t5,ra,sp - 44: 00100e93 li t4,1 - 48: 00400193 li gp,4 - 4c: 49df1263 bne t5,t4,4d0 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 0020af33 slt t5,ra,sp + 40: 00100e93 li t4,1 + 44: 00400193 li gp,4 + 48: 49df1263 bne t5,t4,4cc -00000050 : - 50: 00700093 li ra,7 - 54: 00300113 li sp,3 - 58: 0020af33 slt t5,ra,sp - 5c: 00000e93 li t4,0 - 60: 00500193 li gp,5 - 64: 47df1663 bne t5,t4,4d0 +0000004c : + 4c: 00700093 li ra,7 + 50: 00300113 li sp,3 + 54: 0020af33 slt t5,ra,sp + 58: 00000e93 li t4,0 + 5c: 00500193 li gp,5 + 60: 47df1663 bne t5,t4,4cc -00000068 : - 68: 00000093 li ra,0 - 6c: ffff8137 lui sp,0xffff8 - 70: 0020af33 slt t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 45df1a63 bne t5,t4,4d0 +00000064 : + 64: 00000093 li ra,0 + 68: ffff8137 lui sp,0xffff8 + 6c: 0020af33 slt t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 45df1a63 bne t5,t4,4cc -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: 00000113 li sp,0 - 88: 0020af33 slt t5,ra,sp - 8c: 00100e93 li t4,1 - 90: 00700193 li gp,7 - 94: 43df1e63 bne t5,t4,4d0 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: 00000113 li sp,0 + 84: 0020af33 slt t5,ra,sp + 88: 00100e93 li t4,1 + 8c: 00700193 li gp,7 + 90: 43df1e63 bne t5,t4,4cc -00000098 : - 98: 800000b7 lui ra,0x80000 - 9c: ffff8137 lui sp,0xffff8 - a0: 0020af33 slt t5,ra,sp - a4: 00100e93 li t4,1 - a8: 00800193 li gp,8 - ac: 43df1263 bne t5,t4,4d0 +00000094 : + 94: 800000b7 lui ra,0x80000 + 98: ffff8137 lui sp,0xffff8 + 9c: 0020af33 slt t5,ra,sp + a0: 00100e93 li t4,1 + a4: 00800193 li gp,8 + a8: 43df1263 bne t5,t4,4cc -000000b0 : - b0: 00000093 li ra,0 - b4: 00008137 lui sp,0x8 - b8: fff10113 addi sp,sp,-1 # 7fff - bc: 0020af33 slt t5,ra,sp - c0: 00100e93 li t4,1 - c4: 00900193 li gp,9 - c8: 41df1463 bne t5,t4,4d0 +000000ac : + ac: 00000093 li ra,0 + b0: 00008137 lui sp,0x8 + b4: fff10113 addi sp,sp,-1 # 7fff + b8: 0020af33 slt t5,ra,sp + bc: 00100e93 li t4,1 + c0: 00900193 li gp,9 + c4: 41df1463 bne t5,t4,4cc -000000cc : - cc: 800000b7 lui ra,0x80000 - d0: fff08093 addi ra,ra,-1 # 7fffffff - d4: 00000113 li sp,0 - d8: 0020af33 slt t5,ra,sp - dc: 00000e93 li t4,0 - e0: 00a00193 li gp,10 - e4: 3fdf1663 bne t5,t4,4d0 +000000c8 : + c8: 800000b7 lui ra,0x80000 + cc: fff08093 addi ra,ra,-1 # 7fffffff + d0: 00000113 li sp,0 + d4: 0020af33 slt t5,ra,sp + d8: 00000e93 li t4,0 + dc: 00a00193 li gp,10 + e0: 3fdf1663 bne t5,t4,4cc -000000e8 : - e8: 800000b7 lui ra,0x80000 - ec: fff08093 addi ra,ra,-1 # 7fffffff - f0: 00008137 lui sp,0x8 - f4: fff10113 addi sp,sp,-1 # 7fff - f8: 0020af33 slt t5,ra,sp - fc: 00000e93 li t4,0 - 100: 00b00193 li gp,11 - 104: 3ddf1663 bne t5,t4,4d0 +000000e4 : + e4: 800000b7 lui ra,0x80000 + e8: fff08093 addi ra,ra,-1 # 7fffffff + ec: 00008137 lui sp,0x8 + f0: fff10113 addi sp,sp,-1 # 7fff + f4: 0020af33 slt t5,ra,sp + f8: 00000e93 li t4,0 + fc: 00b00193 li gp,11 + 100: 3ddf1663 bne t5,t4,4cc -00000108 : - 108: 800000b7 lui ra,0x80000 - 10c: 00008137 lui sp,0x8 - 110: fff10113 addi sp,sp,-1 # 7fff - 114: 0020af33 slt t5,ra,sp - 118: 00100e93 li t4,1 - 11c: 00c00193 li gp,12 - 120: 3bdf1863 bne t5,t4,4d0 +00000104 : + 104: 800000b7 lui ra,0x80000 + 108: 00008137 lui sp,0x8 + 10c: fff10113 addi sp,sp,-1 # 7fff + 110: 0020af33 slt t5,ra,sp + 114: 00100e93 li t4,1 + 118: 00c00193 li gp,12 + 11c: 3bdf1863 bne t5,t4,4cc -00000124 : - 124: 800000b7 lui ra,0x80000 - 128: fff08093 addi ra,ra,-1 # 7fffffff - 12c: ffff8137 lui sp,0xffff8 - 130: 0020af33 slt t5,ra,sp - 134: 00000e93 li t4,0 - 138: 00d00193 li gp,13 - 13c: 39df1a63 bne t5,t4,4d0 +00000120 : + 120: 800000b7 lui ra,0x80000 + 124: fff08093 addi ra,ra,-1 # 7fffffff + 128: ffff8137 lui sp,0xffff8 + 12c: 0020af33 slt t5,ra,sp + 130: 00000e93 li t4,0 + 134: 00d00193 li gp,13 + 138: 39df1a63 bne t5,t4,4cc -00000140 : - 140: 00000093 li ra,0 - 144: fff00113 li sp,-1 - 148: 0020af33 slt t5,ra,sp - 14c: 00000e93 li t4,0 - 150: 00e00193 li gp,14 - 154: 37df1e63 bne t5,t4,4d0 +0000013c : + 13c: 00000093 li ra,0 + 140: fff00113 li sp,-1 + 144: 0020af33 slt t5,ra,sp + 148: 00000e93 li t4,0 + 14c: 00e00193 li gp,14 + 150: 37df1e63 bne t5,t4,4cc -00000158 : - 158: fff00093 li ra,-1 - 15c: 00100113 li sp,1 - 160: 0020af33 slt t5,ra,sp - 164: 00100e93 li t4,1 - 168: 00f00193 li gp,15 - 16c: 37df1263 bne t5,t4,4d0 +00000154 : + 154: fff00093 li ra,-1 + 158: 00100113 li sp,1 + 15c: 0020af33 slt t5,ra,sp + 160: 00100e93 li t4,1 + 164: 00f00193 li gp,15 + 168: 37df1263 bne t5,t4,4cc -00000170 : - 170: fff00093 li ra,-1 - 174: fff00113 li sp,-1 - 178: 0020af33 slt t5,ra,sp - 17c: 00000e93 li t4,0 - 180: 01000193 li gp,16 - 184: 35df1663 bne t5,t4,4d0 +0000016c : + 16c: fff00093 li ra,-1 + 170: fff00113 li sp,-1 + 174: 0020af33 slt t5,ra,sp + 178: 00000e93 li t4,0 + 17c: 01000193 li gp,16 + 180: 35df1663 bne t5,t4,4cc -00000188 : - 188: 00e00093 li ra,14 - 18c: 00d00113 li sp,13 - 190: 0020a0b3 slt ra,ra,sp - 194: 00000e93 li t4,0 - 198: 01100193 li gp,17 - 19c: 33d09a63 bne ra,t4,4d0 +00000184 : + 184: 00e00093 li ra,14 + 188: 00d00113 li sp,13 + 18c: 0020a0b3 slt ra,ra,sp + 190: 00000e93 li t4,0 + 194: 01100193 li gp,17 + 198: 33d09a63 bne ra,t4,4cc -000001a0 : - 1a0: 00b00093 li ra,11 - 1a4: 00d00113 li sp,13 - 1a8: 0020a133 slt sp,ra,sp - 1ac: 00100e93 li t4,1 - 1b0: 01200193 li gp,18 - 1b4: 31d11e63 bne sp,t4,4d0 +0000019c : + 19c: 00b00093 li ra,11 + 1a0: 00d00113 li sp,13 + 1a4: 0020a133 slt sp,ra,sp + 1a8: 00100e93 li t4,1 + 1ac: 01200193 li gp,18 + 1b0: 31d11e63 bne sp,t4,4cc -000001b8 : - 1b8: 00d00093 li ra,13 - 1bc: 0010a0b3 slt ra,ra,ra - 1c0: 00000e93 li t4,0 - 1c4: 01300193 li gp,19 - 1c8: 31d09463 bne ra,t4,4d0 +000001b4 : + 1b4: 00d00093 li ra,13 + 1b8: 0010a0b3 slt ra,ra,ra + 1bc: 00000e93 li t4,0 + 1c0: 01300193 li gp,19 + 1c4: 31d09463 bne ra,t4,4cc -000001cc : - 1cc: 00000213 li tp,0 - 1d0: 00b00093 li ra,11 - 1d4: 00d00113 li sp,13 - 1d8: 0020af33 slt t5,ra,sp - 1dc: 000f0313 mv t1,t5 - 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e4: 00200293 li t0,2 - 1e8: fe5214e3 bne tp,t0,1d0 - 1ec: 00100e93 li t4,1 - 1f0: 01400193 li gp,20 - 1f4: 2dd31e63 bne t1,t4,4d0 +000001c8 : + 1c8: 00000213 li tp,0 + 1cc: 00b00093 li ra,11 + 1d0: 00d00113 li sp,13 + 1d4: 0020af33 slt t5,ra,sp + 1d8: 000f0313 mv t1,t5 + 1dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e0: 00200293 li t0,2 + 1e4: fe5214e3 bne tp,t0,1cc + 1e8: 00100e93 li t4,1 + 1ec: 01400193 li gp,20 + 1f0: 2dd31e63 bne t1,t4,4cc -000001f8 : - 1f8: 00000213 li tp,0 - 1fc: 00e00093 li ra,14 - 200: 00d00113 li sp,13 - 204: 0020af33 slt t5,ra,sp - 208: 00000013 nop - 20c: 000f0313 mv t1,t5 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5212e3 bne tp,t0,1fc - 21c: 00000e93 li t4,0 - 220: 01500193 li gp,21 - 224: 2bd31663 bne t1,t4,4d0 +000001f4 : + 1f4: 00000213 li tp,0 + 1f8: 00e00093 li ra,14 + 1fc: 00d00113 li sp,13 + 200: 0020af33 slt t5,ra,sp + 204: 00000013 nop + 208: 000f0313 mv t1,t5 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5212e3 bne tp,t0,1f8 + 218: 00000e93 li t4,0 + 21c: 01500193 li gp,21 + 220: 2bd31663 bne t1,t4,4cc -00000228 : - 228: 00000213 li tp,0 - 22c: 00c00093 li ra,12 - 230: 00d00113 li sp,13 - 234: 0020af33 slt t5,ra,sp +00000224 : + 224: 00000213 li tp,0 + 228: 00c00093 li ra,12 + 22c: 00d00113 li sp,13 + 230: 0020af33 slt t5,ra,sp + 234: 00000013 nop 238: 00000013 nop - 23c: 00000013 nop - 240: 000f0313 mv t1,t5 - 244: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 248: 00200293 li t0,2 - 24c: fe5210e3 bne tp,t0,22c - 250: 00100e93 li t4,1 - 254: 01600193 li gp,22 - 258: 27d31c63 bne t1,t4,4d0 + 23c: 000f0313 mv t1,t5 + 240: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 244: 00200293 li t0,2 + 248: fe5210e3 bne tp,t0,228 + 24c: 00100e93 li t4,1 + 250: 01600193 li gp,22 + 254: 27d31c63 bne t1,t4,4cc -0000025c : - 25c: 00000213 li tp,0 - 260: 00e00093 li ra,14 - 264: 00d00113 li sp,13 - 268: 0020af33 slt t5,ra,sp - 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 270: 00200293 li t0,2 - 274: fe5216e3 bne tp,t0,260 - 278: 00000e93 li t4,0 - 27c: 01700193 li gp,23 - 280: 25df1863 bne t5,t4,4d0 +00000258 : + 258: 00000213 li tp,0 + 25c: 00e00093 li ra,14 + 260: 00d00113 li sp,13 + 264: 0020af33 slt t5,ra,sp + 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 26c: 00200293 li t0,2 + 270: fe5216e3 bne tp,t0,25c + 274: 00000e93 li t4,0 + 278: 01700193 li gp,23 + 27c: 25df1863 bne t5,t4,4cc -00000284 : - 284: 00000213 li tp,0 - 288: 00b00093 li ra,11 - 28c: 00d00113 li sp,13 - 290: 00000013 nop - 294: 0020af33 slt t5,ra,sp - 298: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 29c: 00200293 li t0,2 - 2a0: fe5214e3 bne tp,t0,288 - 2a4: 00100e93 li t4,1 - 2a8: 01800193 li gp,24 - 2ac: 23df1263 bne t5,t4,4d0 +00000280 : + 280: 00000213 li tp,0 + 284: 00b00093 li ra,11 + 288: 00d00113 li sp,13 + 28c: 00000013 nop + 290: 0020af33 slt t5,ra,sp + 294: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 298: 00200293 li t0,2 + 29c: fe5214e3 bne tp,t0,284 + 2a0: 00100e93 li t4,1 + 2a4: 01800193 li gp,24 + 2a8: 23df1263 bne t5,t4,4cc -000002b0 : - 2b0: 00000213 li tp,0 - 2b4: 00f00093 li ra,15 - 2b8: 00d00113 li sp,13 +000002ac : + 2ac: 00000213 li tp,0 + 2b0: 00f00093 li ra,15 + 2b4: 00d00113 li sp,13 + 2b8: 00000013 nop 2bc: 00000013 nop - 2c0: 00000013 nop - 2c4: 0020af33 slt t5,ra,sp - 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2cc: 00200293 li t0,2 - 2d0: fe5212e3 bne tp,t0,2b4 - 2d4: 00000e93 li t4,0 - 2d8: 01900193 li gp,25 - 2dc: 1fdf1a63 bne t5,t4,4d0 + 2c0: 0020af33 slt t5,ra,sp + 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c8: 00200293 li t0,2 + 2cc: fe5212e3 bne tp,t0,2b0 + 2d0: 00000e93 li t4,0 + 2d4: 01900193 li gp,25 + 2d8: 1fdf1a63 bne t5,t4,4cc -000002e0 : - 2e0: 00000213 li tp,0 - 2e4: 00a00093 li ra,10 - 2e8: 00000013 nop - 2ec: 00d00113 li sp,13 - 2f0: 0020af33 slt t5,ra,sp - 2f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f8: 00200293 li t0,2 - 2fc: fe5214e3 bne tp,t0,2e4 - 300: 00100e93 li t4,1 - 304: 01a00193 li gp,26 - 308: 1ddf1463 bne t5,t4,4d0 +000002dc : + 2dc: 00000213 li tp,0 + 2e0: 00a00093 li ra,10 + 2e4: 00000013 nop + 2e8: 00d00113 li sp,13 + 2ec: 0020af33 slt t5,ra,sp + 2f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2f4: 00200293 li t0,2 + 2f8: fe5214e3 bne tp,t0,2e0 + 2fc: 00100e93 li t4,1 + 300: 01a00193 li gp,26 + 304: 1ddf1463 bne t5,t4,4cc -0000030c : - 30c: 00000213 li tp,0 - 310: 01000093 li ra,16 - 314: 00000013 nop - 318: 00d00113 li sp,13 - 31c: 00000013 nop - 320: 0020af33 slt t5,ra,sp - 324: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 328: 00200293 li t0,2 - 32c: fe5212e3 bne tp,t0,310 - 330: 00000e93 li t4,0 - 334: 01b00193 li gp,27 - 338: 19df1c63 bne t5,t4,4d0 +00000308 : + 308: 00000213 li tp,0 + 30c: 01000093 li ra,16 + 310: 00000013 nop + 314: 00d00113 li sp,13 + 318: 00000013 nop + 31c: 0020af33 slt t5,ra,sp + 320: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 324: 00200293 li t0,2 + 328: fe5212e3 bne tp,t0,30c + 32c: 00000e93 li t4,0 + 330: 01b00193 li gp,27 + 334: 19df1c63 bne t5,t4,4cc -0000033c : - 33c: 00000213 li tp,0 - 340: 00900093 li ra,9 +00000338 : + 338: 00000213 li tp,0 + 33c: 00900093 li ra,9 + 340: 00000013 nop 344: 00000013 nop - 348: 00000013 nop - 34c: 00d00113 li sp,13 - 350: 0020af33 slt t5,ra,sp - 354: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 358: 00200293 li t0,2 - 35c: fe5212e3 bne tp,t0,340 - 360: 00100e93 li t4,1 - 364: 01c00193 li gp,28 - 368: 17df1463 bne t5,t4,4d0 + 348: 00d00113 li sp,13 + 34c: 0020af33 slt t5,ra,sp + 350: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 354: 00200293 li t0,2 + 358: fe5212e3 bne tp,t0,33c + 35c: 00100e93 li t4,1 + 360: 01c00193 li gp,28 + 364: 17df1463 bne t5,t4,4cc -0000036c : - 36c: 00000213 li tp,0 - 370: 00d00113 li sp,13 - 374: 01100093 li ra,17 - 378: 0020af33 slt t5,ra,sp - 37c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 380: 00200293 li t0,2 - 384: fe5216e3 bne tp,t0,370 - 388: 00000e93 li t4,0 - 38c: 01d00193 li gp,29 - 390: 15df1063 bne t5,t4,4d0 +00000368 : + 368: 00000213 li tp,0 + 36c: 00d00113 li sp,13 + 370: 01100093 li ra,17 + 374: 0020af33 slt t5,ra,sp + 378: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 37c: 00200293 li t0,2 + 380: fe5216e3 bne tp,t0,36c + 384: 00000e93 li t4,0 + 388: 01d00193 li gp,29 + 38c: 15df1063 bne t5,t4,4cc -00000394 : - 394: 00000213 li tp,0 - 398: 00d00113 li sp,13 - 39c: 00800093 li ra,8 - 3a0: 00000013 nop - 3a4: 0020af33 slt t5,ra,sp - 3a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3ac: 00200293 li t0,2 - 3b0: fe5214e3 bne tp,t0,398 - 3b4: 00100e93 li t4,1 - 3b8: 01e00193 li gp,30 - 3bc: 11df1a63 bne t5,t4,4d0 +00000390 : + 390: 00000213 li tp,0 + 394: 00d00113 li sp,13 + 398: 00800093 li ra,8 + 39c: 00000013 nop + 3a0: 0020af33 slt t5,ra,sp + 3a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3a8: 00200293 li t0,2 + 3ac: fe5214e3 bne tp,t0,394 + 3b0: 00100e93 li t4,1 + 3b4: 01e00193 li gp,30 + 3b8: 11df1a63 bne t5,t4,4cc -000003c0 : - 3c0: 00000213 li tp,0 - 3c4: 00d00113 li sp,13 - 3c8: 01200093 li ra,18 +000003bc : + 3bc: 00000213 li tp,0 + 3c0: 00d00113 li sp,13 + 3c4: 01200093 li ra,18 + 3c8: 00000013 nop 3cc: 00000013 nop - 3d0: 00000013 nop - 3d4: 0020af33 slt t5,ra,sp - 3d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3dc: 00200293 li t0,2 - 3e0: fe5212e3 bne tp,t0,3c4 - 3e4: 00000e93 li t4,0 - 3e8: 01f00193 li gp,31 - 3ec: 0fdf1263 bne t5,t4,4d0 + 3d0: 0020af33 slt t5,ra,sp + 3d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3d8: 00200293 li t0,2 + 3dc: fe5212e3 bne tp,t0,3c0 + 3e0: 00000e93 li t4,0 + 3e4: 01f00193 li gp,31 + 3e8: 0fdf1263 bne t5,t4,4cc -000003f0 : - 3f0: 00000213 li tp,0 - 3f4: 00d00113 li sp,13 - 3f8: 00000013 nop - 3fc: 00700093 li ra,7 - 400: 0020af33 slt t5,ra,sp - 404: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 408: 00200293 li t0,2 - 40c: fe5214e3 bne tp,t0,3f4 - 410: 00100e93 li t4,1 - 414: 02000193 li gp,32 - 418: 0bdf1c63 bne t5,t4,4d0 +000003ec : + 3ec: 00000213 li tp,0 + 3f0: 00d00113 li sp,13 + 3f4: 00000013 nop + 3f8: 00700093 li ra,7 + 3fc: 0020af33 slt t5,ra,sp + 400: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 404: 00200293 li t0,2 + 408: fe5214e3 bne tp,t0,3f0 + 40c: 00100e93 li t4,1 + 410: 02000193 li gp,32 + 414: 0bdf1c63 bne t5,t4,4cc -0000041c : - 41c: 00000213 li tp,0 - 420: 00d00113 li sp,13 - 424: 00000013 nop - 428: 01300093 li ra,19 - 42c: 00000013 nop - 430: 0020af33 slt t5,ra,sp - 434: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 438: 00200293 li t0,2 - 43c: fe5212e3 bne tp,t0,420 - 440: 00000e93 li t4,0 - 444: 02100193 li gp,33 - 448: 09df1463 bne t5,t4,4d0 +00000418 : + 418: 00000213 li tp,0 + 41c: 00d00113 li sp,13 + 420: 00000013 nop + 424: 01300093 li ra,19 + 428: 00000013 nop + 42c: 0020af33 slt t5,ra,sp + 430: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 434: 00200293 li t0,2 + 438: fe5212e3 bne tp,t0,41c + 43c: 00000e93 li t4,0 + 440: 02100193 li gp,33 + 444: 09df1463 bne t5,t4,4cc -0000044c : - 44c: 00000213 li tp,0 - 450: 00d00113 li sp,13 +00000448 : + 448: 00000213 li tp,0 + 44c: 00d00113 li sp,13 + 450: 00000013 nop 454: 00000013 nop - 458: 00000013 nop - 45c: 00600093 li ra,6 - 460: 0020af33 slt t5,ra,sp - 464: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 468: 00200293 li t0,2 - 46c: fe5212e3 bne tp,t0,450 - 470: 00100e93 li t4,1 - 474: 02200193 li gp,34 - 478: 05df1c63 bne t5,t4,4d0 + 458: 00600093 li ra,6 + 45c: 0020af33 slt t5,ra,sp + 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 464: 00200293 li t0,2 + 468: fe5212e3 bne tp,t0,44c + 46c: 00100e93 li t4,1 + 470: 02200193 li gp,34 + 474: 05df1c63 bne t5,t4,4cc -0000047c : - 47c: fff00093 li ra,-1 - 480: 00102133 sgtz sp,ra - 484: 00000e93 li t4,0 - 488: 02300193 li gp,35 - 48c: 05d11263 bne sp,t4,4d0 +00000478 : + 478: fff00093 li ra,-1 + 47c: 00102133 sgtz sp,ra + 480: 00000e93 li t4,0 + 484: 02300193 li gp,35 + 488: 05d11263 bne sp,t4,4cc -00000490 : - 490: fff00093 li ra,-1 - 494: 0000a133 sltz sp,ra - 498: 00100e93 li t4,1 - 49c: 02400193 li gp,36 - 4a0: 03d11863 bne sp,t4,4d0 +0000048c : + 48c: fff00093 li ra,-1 + 490: 0000a133 sltz sp,ra + 494: 00100e93 li t4,1 + 498: 02400193 li gp,36 + 49c: 03d11863 bne sp,t4,4cc -000004a4 : - 4a4: 000020b3 sltz ra,zero - 4a8: 00000e93 li t4,0 - 4ac: 02500193 li gp,37 - 4b0: 03d09063 bne ra,t4,4d0 +000004a0 : + 4a0: 000020b3 sltz ra,zero + 4a4: 00000e93 li t4,0 + 4a8: 02500193 li gp,37 + 4ac: 03d09063 bne ra,t4,4cc -000004b4 : - 4b4: 01000093 li ra,16 - 4b8: 01e00113 li sp,30 - 4bc: 0020a033 slt zero,ra,sp - 4c0: 00000e93 li t4,0 - 4c4: 02600193 li gp,38 - 4c8: 01d01463 bne zero,t4,4d0 - 4cc: 00301863 bne zero,gp,4dc +000004b0 : + 4b0: 01000093 li ra,16 + 4b4: 01e00113 li sp,30 + 4b8: 0020a033 slt zero,ra,sp + 4bc: 00000e93 li t4,0 + 4c0: 02600193 li gp,38 + 4c4: 01d01463 bne zero,t4,4cc + 4c8: 00301663 bne zero,gp,4d4 -000004d0 : - 4d0: 00000d93 li s11,0 - 4d4: 00100d13 li s10,1 +000004cc : + 4cc: 1000d073 csrwi sstatus,1 -000004d8 : - 4d8: 0000006f j 4d8 +000004d0 : + 4d0: 0000006f j 4d0 -000004dc : - 4dc: 00100d93 li s11,1 - 4e0: 00100d13 li s10,1 +000004d4 : + 4d4: 1001d073 csrwi sstatus,3 -000004e4 : - 4e4: 0000006f j 4e4 +000004d8 : + 4d8: 0000006f j 4d8 ... Disassembly of section .tohost: @@ -414,14 +411,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-slt.mem b/tests/isa/generated/rv32ui-p-slt.mem index fd1e74e..e9c7ee0 100644 --- a/tests/isa/generated/rv32ui-p-slt.mem +++ b/tests/isa/generated/rv32ui-p-slt.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 0020af33 @@ -305,12 +304,10 @@ fff00093 00000e93 02600193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fff00093 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slt.verilog b/tests/isa/generated/rv32ui-p-slt.verilog index a1fdb75..2a02ca7 100755 --- a/tests/isa/generated/rv32ui-p-slt.verilog +++ b/tests/isa/generated/rv32ui-p-slt.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 AF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 AF 20 00 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 AF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 -93 00 70 00 13 01 30 00 33 AF 20 00 93 0E 00 00 -93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF -33 AF 20 00 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 AF 20 00 93 0E 10 00 -93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF -33 AF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 -93 00 00 00 37 81 00 00 13 01 F1 FF 33 AF 20 00 -93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 -93 80 F0 FF 13 01 00 00 33 AF 20 00 93 0E 00 00 -93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 AF 20 00 93 0E 00 00 -93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 AF 20 00 93 0E 10 00 93 01 C0 00 -63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF -33 AF 20 00 93 0E 00 00 93 01 D0 00 63 1A DF 39 -93 00 00 00 13 01 F0 FF 33 AF 20 00 93 0E 00 00 -93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 AF 20 00 93 0E 10 00 93 01 F0 00 63 12 DF 37 -93 00 F0 FF 13 01 F0 FF 33 AF 20 00 93 0E 00 00 -93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 -B3 A0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 -93 00 B0 00 13 01 D0 00 33 A1 20 00 93 0E 10 00 -93 01 20 01 63 1E D1 31 93 00 D0 00 B3 A0 10 00 -93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 -93 00 B0 00 13 01 D0 00 33 AF 20 00 13 03 0F 00 +73 50 00 10 93 00 00 00 13 01 00 00 33 AF 20 00 +93 0E 00 00 93 01 20 00 63 1A DF 4B 93 00 10 00 +13 01 10 00 33 AF 20 00 93 0E 00 00 93 01 30 00 +63 1E DF 49 93 00 30 00 13 01 70 00 33 AF 20 00 +93 0E 10 00 93 01 40 00 63 12 DF 49 93 00 70 00 +13 01 30 00 33 AF 20 00 93 0E 00 00 93 01 50 00 +63 16 DF 47 93 00 00 00 37 81 FF FF 33 AF 20 00 +93 0E 00 00 93 01 60 00 63 1A DF 45 B7 00 00 80 +13 01 00 00 33 AF 20 00 93 0E 10 00 93 01 70 00 +63 1E DF 43 B7 00 00 80 37 81 FF FF 33 AF 20 00 +93 0E 10 00 93 01 80 00 63 12 DF 43 93 00 00 00 +37 81 00 00 13 01 F1 FF 33 AF 20 00 93 0E 10 00 +93 01 90 00 63 14 DF 41 B7 00 00 80 93 80 F0 FF +13 01 00 00 33 AF 20 00 93 0E 00 00 93 01 A0 00 +63 16 DF 3F B7 00 00 80 93 80 F0 FF 37 81 00 00 +13 01 F1 FF 33 AF 20 00 93 0E 00 00 93 01 B0 00 +63 16 DF 3D B7 00 00 80 37 81 00 00 13 01 F1 FF +33 AF 20 00 93 0E 10 00 93 01 C0 00 63 18 DF 3B +B7 00 00 80 93 80 F0 FF 37 81 FF FF 33 AF 20 00 +93 0E 00 00 93 01 D0 00 63 1A DF 39 93 00 00 00 +13 01 F0 FF 33 AF 20 00 93 0E 00 00 93 01 E0 00 +63 1E DF 37 93 00 F0 FF 13 01 10 00 33 AF 20 00 +93 0E 10 00 93 01 F0 00 63 12 DF 37 93 00 F0 FF +13 01 F0 FF 33 AF 20 00 93 0E 00 00 93 01 00 01 +63 16 DF 35 93 00 E0 00 13 01 D0 00 B3 A0 20 00 +93 0E 00 00 93 01 10 01 63 9A D0 33 93 00 B0 00 +13 01 D0 00 33 A1 20 00 93 0E 10 00 93 01 20 01 +63 1E D1 31 93 00 D0 00 B3 A0 10 00 93 0E 00 00 +93 01 30 01 63 94 D0 31 13 02 00 00 93 00 B0 00 +13 01 D0 00 33 AF 20 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 10 00 93 01 40 01 +63 1E D3 2D 13 02 00 00 93 00 E0 00 13 01 D0 00 +33 AF 20 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 00 00 93 01 50 01 +63 16 D3 2B 13 02 00 00 93 00 C0 00 13 01 D0 00 +33 AF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 0E 10 00 +93 01 60 01 63 1C D3 27 13 02 00 00 93 00 E0 00 +13 01 D0 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 00 93 01 70 01 63 18 DF 25 +13 02 00 00 93 00 B0 00 13 01 D0 00 13 00 00 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 80 01 63 12 DF 23 13 02 00 00 +93 00 F0 00 13 01 D0 00 13 00 00 00 13 00 00 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 90 01 63 1A DF 1F 13 02 00 00 +93 00 A0 00 13 00 00 00 13 01 D0 00 33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 D0 00 33 AF 20 00 13 00 00 00 13 03 0F 00 +93 01 A0 01 63 14 DF 1D 13 02 00 00 93 00 00 01 +13 00 00 00 13 01 D0 00 13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 -13 01 D0 00 33 AF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 -93 00 E0 00 13 01 D0 00 33 AF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 -63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F -13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 +93 01 B0 01 63 1C DF 19 13 02 00 00 93 00 90 00 +13 00 00 00 13 00 00 00 13 01 D0 00 33 AF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 C0 01 63 14 DF 17 13 02 00 00 13 01 D0 00 +93 00 10 01 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 00 93 01 D0 01 63 10 DF 15 +13 02 00 00 13 01 D0 00 93 00 80 00 13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 -93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 +93 0E 10 00 93 01 E0 01 63 1A DF 11 13 02 00 00 +13 01 D0 00 93 00 20 01 13 00 00 00 13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 -93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 -13 01 D0 00 93 00 10 01 33 AF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 -63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 -13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F -13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 -13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 -13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF -33 21 10 00 93 0E 00 00 93 01 30 02 63 12 D1 05 -93 00 F0 FF 33 A1 00 00 93 0E 10 00 93 01 40 02 -63 18 D1 03 B3 20 00 00 93 0E 00 00 93 01 50 02 -63 90 D0 03 93 00 00 01 13 01 E0 01 33 A0 20 00 -93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +93 0E 00 00 93 01 F0 01 63 12 DF 0F 13 02 00 00 +13 01 D0 00 13 00 00 00 93 00 70 00 33 AF 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 00 02 63 1C DF 0B 13 02 00 00 13 01 D0 00 +13 00 00 00 93 00 30 01 13 00 00 00 33 AF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 10 02 63 14 DF 09 13 02 00 00 13 01 D0 00 +13 00 00 00 13 00 00 00 93 00 60 00 33 AF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 20 02 63 1C DF 05 93 00 F0 FF 33 21 10 00 +93 0E 00 00 93 01 30 02 63 12 D1 05 93 00 F0 FF +33 A1 00 00 93 0E 10 00 93 01 40 02 63 18 D1 03 +B3 20 00 00 93 0E 00 00 93 01 50 02 63 90 D0 03 +93 00 00 01 13 01 E0 01 33 A0 20 00 93 0E 00 00 +93 01 60 02 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32ui-p-slti b/tests/isa/generated/rv32ui-p-slti index d5023f2de41fbf9f158fb296dbea7ede0b9ffa5d..e1b84a3da19761461954ab91b2d1813000401df3 100755 GIT binary patch delta 576 zcmYk(u}cDR7{>AU6$`1M15=NnLut@K=(320f`r-}9D*QRqy`Tn%L_3$(7~}mxyDAv zmasO5AeWX15~?9uqrafB=Lqq+<-VWy?ss?m?!g|~oup=OQ(tpowPVUr}h|bJ*%a-4YZZyNV@_(p2AsV5HgH`63 zqOyR_l2hnyat6IeUPf2Q>u8U>CDN1*4R+8yasfRc2M-^SOXzR%QP4Ubs2n5b$>(r} z?BV^gNrN_SD3UMX2Kfr^k+0zqIr#Wr@(rA%9le7K`m43?-NT}@jnu2efQN&n?G^>HIq&G0}`k@mH+?% delta 568 zcmX?NaKK=K0;9%8#rymm!n_O&lYw|Mi$EchgoFW@Bg`wnkk0^;5MThaCr=dGAt4DA zDatHPE@NQi2QpcU%8ZRNjS37VCdyB45w@u30183?Bg07`qlO8=7X~tAU|a@91}Pxh z0Ew>%WV;~oHGu30B)&e7orAC__hoT^$b&x1VDzZK;ruV*$0sLAwc#GBz_E# z{RWAj0%Wr=!UPz>mP;Vo&A{{|9& z36TE>iN6NOS3wHVEkJ$%5`T{gP#^(G;4+Zkfy6%o0p@i_{GvWe_DUu8!H5W0 diff --git a/tests/isa/generated/rv32ui-p-slti.dump b/tests/isa/generated/rv32ui-p-slti.dump index c45c650..8c75f05 100644 --- a/tests/isa/generated/rv32ui-p-slti.dump +++ b/tests/isa/generated/rv32ui-p-slti.dump @@ -5,227 +5,224 @@ generated/rv32ui-p-slti: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 0000af13 slti t5,ra,0 - 10: 00000e93 li t4,0 - 14: 00200193 li gp,2 - 18: 27df1263 bne t5,t4,27c +00000004 : + 4: 00000093 li ra,0 + 8: 0000af13 slti t5,ra,0 + c: 00000e93 li t4,0 + 10: 00200193 li gp,2 + 14: 27df1263 bne t5,t4,278 -0000001c : - 1c: 00100093 li ra,1 - 20: 0010af13 slti t5,ra,1 - 24: 00000e93 li t4,0 - 28: 00300193 li gp,3 - 2c: 25df1863 bne t5,t4,27c +00000018 : + 18: 00100093 li ra,1 + 1c: 0010af13 slti t5,ra,1 + 20: 00000e93 li t4,0 + 24: 00300193 li gp,3 + 28: 25df1863 bne t5,t4,278 -00000030 : - 30: 00300093 li ra,3 - 34: 0070af13 slti t5,ra,7 - 38: 00100e93 li t4,1 - 3c: 00400193 li gp,4 - 40: 23df1e63 bne t5,t4,27c +0000002c : + 2c: 00300093 li ra,3 + 30: 0070af13 slti t5,ra,7 + 34: 00100e93 li t4,1 + 38: 00400193 li gp,4 + 3c: 23df1e63 bne t5,t4,278 -00000044 : - 44: 00700093 li ra,7 - 48: 0030af13 slti t5,ra,3 - 4c: 00000e93 li t4,0 - 50: 00500193 li gp,5 - 54: 23df1463 bne t5,t4,27c +00000040 : + 40: 00700093 li ra,7 + 44: 0030af13 slti t5,ra,3 + 48: 00000e93 li t4,0 + 4c: 00500193 li gp,5 + 50: 23df1463 bne t5,t4,278 -00000058 : - 58: 00000093 li ra,0 - 5c: 8000af13 slti t5,ra,-2048 - 60: 00000e93 li t4,0 - 64: 00600193 li gp,6 - 68: 21df1a63 bne t5,t4,27c +00000054 : + 54: 00000093 li ra,0 + 58: 8000af13 slti t5,ra,-2048 + 5c: 00000e93 li t4,0 + 60: 00600193 li gp,6 + 64: 21df1a63 bne t5,t4,278 -0000006c : - 6c: 800000b7 lui ra,0x80000 - 70: 0000af13 slti t5,ra,0 - 74: 00100e93 li t4,1 - 78: 00700193 li gp,7 - 7c: 21df1063 bne t5,t4,27c +00000068 : + 68: 800000b7 lui ra,0x80000 + 6c: 0000af13 slti t5,ra,0 + 70: 00100e93 li t4,1 + 74: 00700193 li gp,7 + 78: 21df1063 bne t5,t4,278 -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: 8000af13 slti t5,ra,-2048 - 88: 00100e93 li t4,1 - 8c: 00800193 li gp,8 - 90: 1fdf1663 bne t5,t4,27c +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: 8000af13 slti t5,ra,-2048 + 84: 00100e93 li t4,1 + 88: 00800193 li gp,8 + 8c: 1fdf1663 bne t5,t4,278 -00000094 : - 94: 00000093 li ra,0 - 98: 7ff0af13 slti t5,ra,2047 - 9c: 00100e93 li t4,1 - a0: 00900193 li gp,9 - a4: 1ddf1c63 bne t5,t4,27c +00000090 : + 90: 00000093 li ra,0 + 94: 7ff0af13 slti t5,ra,2047 + 98: 00100e93 li t4,1 + 9c: 00900193 li gp,9 + a0: 1ddf1c63 bne t5,t4,278 -000000a8 : - a8: 800000b7 lui ra,0x80000 - ac: fff08093 addi ra,ra,-1 # 7fffffff - b0: 0000af13 slti t5,ra,0 - b4: 00000e93 li t4,0 - b8: 00a00193 li gp,10 - bc: 1ddf1063 bne t5,t4,27c +000000a4 : + a4: 800000b7 lui ra,0x80000 + a8: fff08093 addi ra,ra,-1 # 7fffffff + ac: 0000af13 slti t5,ra,0 + b0: 00000e93 li t4,0 + b4: 00a00193 li gp,10 + b8: 1ddf1063 bne t5,t4,278 -000000c0 : - c0: 800000b7 lui ra,0x80000 - c4: fff08093 addi ra,ra,-1 # 7fffffff - c8: 7ff0af13 slti t5,ra,2047 - cc: 00000e93 li t4,0 - d0: 00b00193 li gp,11 - d4: 1bdf1463 bne t5,t4,27c +000000bc : + bc: 800000b7 lui ra,0x80000 + c0: fff08093 addi ra,ra,-1 # 7fffffff + c4: 7ff0af13 slti t5,ra,2047 + c8: 00000e93 li t4,0 + cc: 00b00193 li gp,11 + d0: 1bdf1463 bne t5,t4,278 -000000d8 : - d8: 800000b7 lui ra,0x80000 - dc: 7ff0af13 slti t5,ra,2047 - e0: 00100e93 li t4,1 - e4: 00c00193 li gp,12 - e8: 19df1a63 bne t5,t4,27c +000000d4 : + d4: 800000b7 lui ra,0x80000 + d8: 7ff0af13 slti t5,ra,2047 + dc: 00100e93 li t4,1 + e0: 00c00193 li gp,12 + e4: 19df1a63 bne t5,t4,278 -000000ec : - ec: 800000b7 lui ra,0x80000 - f0: fff08093 addi ra,ra,-1 # 7fffffff - f4: 8000af13 slti t5,ra,-2048 - f8: 00000e93 li t4,0 - fc: 00d00193 li gp,13 - 100: 17df1e63 bne t5,t4,27c +000000e8 : + e8: 800000b7 lui ra,0x80000 + ec: fff08093 addi ra,ra,-1 # 7fffffff + f0: 8000af13 slti t5,ra,-2048 + f4: 00000e93 li t4,0 + f8: 00d00193 li gp,13 + fc: 17df1e63 bne t5,t4,278 -00000104 : - 104: 00000093 li ra,0 - 108: fff0af13 slti t5,ra,-1 - 10c: 00000e93 li t4,0 - 110: 00e00193 li gp,14 - 114: 17df1463 bne t5,t4,27c +00000100 : + 100: 00000093 li ra,0 + 104: fff0af13 slti t5,ra,-1 + 108: 00000e93 li t4,0 + 10c: 00e00193 li gp,14 + 110: 17df1463 bne t5,t4,278 -00000118 : - 118: fff00093 li ra,-1 - 11c: 0010af13 slti t5,ra,1 - 120: 00100e93 li t4,1 - 124: 00f00193 li gp,15 - 128: 15df1a63 bne t5,t4,27c +00000114 : + 114: fff00093 li ra,-1 + 118: 0010af13 slti t5,ra,1 + 11c: 00100e93 li t4,1 + 120: 00f00193 li gp,15 + 124: 15df1a63 bne t5,t4,278 -0000012c : - 12c: fff00093 li ra,-1 - 130: fff0af13 slti t5,ra,-1 - 134: 00000e93 li t4,0 - 138: 01000193 li gp,16 - 13c: 15df1063 bne t5,t4,27c +00000128 : + 128: fff00093 li ra,-1 + 12c: fff0af13 slti t5,ra,-1 + 130: 00000e93 li t4,0 + 134: 01000193 li gp,16 + 138: 15df1063 bne t5,t4,278 -00000140 : - 140: 00b00093 li ra,11 - 144: 00d0a093 slti ra,ra,13 - 148: 00100e93 li t4,1 - 14c: 01100193 li gp,17 - 150: 13d09663 bne ra,t4,27c +0000013c : + 13c: 00b00093 li ra,11 + 140: 00d0a093 slti ra,ra,13 + 144: 00100e93 li t4,1 + 148: 01100193 li gp,17 + 14c: 13d09663 bne ra,t4,278 -00000154 : - 154: 00000213 li tp,0 - 158: 00f00093 li ra,15 - 15c: 00a0af13 slti t5,ra,10 - 160: 000f0313 mv t1,t5 - 164: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 168: 00200293 li t0,2 - 16c: fe5216e3 bne tp,t0,158 - 170: 00000e93 li t4,0 - 174: 01200193 li gp,18 - 178: 11d31263 bne t1,t4,27c +00000150 : + 150: 00000213 li tp,0 + 154: 00f00093 li ra,15 + 158: 00a0af13 slti t5,ra,10 + 15c: 000f0313 mv t1,t5 + 160: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 164: 00200293 li t0,2 + 168: fe5216e3 bne tp,t0,154 + 16c: 00000e93 li t4,0 + 170: 01200193 li gp,18 + 174: 11d31263 bne t1,t4,278 -0000017c : - 17c: 00000213 li tp,0 - 180: 00a00093 li ra,10 - 184: 0100af13 slti t5,ra,16 - 188: 00000013 nop - 18c: 000f0313 mv t1,t5 - 190: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 194: 00200293 li t0,2 - 198: fe5214e3 bne tp,t0,180 - 19c: 00100e93 li t4,1 - 1a0: 01300193 li gp,19 - 1a4: 0dd31c63 bne t1,t4,27c +00000178 : + 178: 00000213 li tp,0 + 17c: 00a00093 li ra,10 + 180: 0100af13 slti t5,ra,16 + 184: 00000013 nop + 188: 000f0313 mv t1,t5 + 18c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 190: 00200293 li t0,2 + 194: fe5214e3 bne tp,t0,17c + 198: 00100e93 li t4,1 + 19c: 01300193 li gp,19 + 1a0: 0dd31c63 bne t1,t4,278 -000001a8 : - 1a8: 00000213 li tp,0 - 1ac: 01000093 li ra,16 - 1b0: 0090af13 slti t5,ra,9 +000001a4 : + 1a4: 00000213 li tp,0 + 1a8: 01000093 li ra,16 + 1ac: 0090af13 slti t5,ra,9 + 1b0: 00000013 nop 1b4: 00000013 nop - 1b8: 00000013 nop - 1bc: 000f0313 mv t1,t5 - 1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c4: 00200293 li t0,2 - 1c8: fe5212e3 bne tp,t0,1ac - 1cc: 00000e93 li t4,0 - 1d0: 01400193 li gp,20 - 1d4: 0bd31463 bne t1,t4,27c + 1b8: 000f0313 mv t1,t5 + 1bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c0: 00200293 li t0,2 + 1c4: fe5212e3 bne tp,t0,1a8 + 1c8: 00000e93 li t4,0 + 1cc: 01400193 li gp,20 + 1d0: 0bd31463 bne t1,t4,278 -000001d8 : - 1d8: 00000213 li tp,0 - 1dc: 00b00093 li ra,11 - 1e0: 00f0af13 slti t5,ra,15 - 1e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e8: 00200293 li t0,2 - 1ec: fe5218e3 bne tp,t0,1dc - 1f0: 00100e93 li t4,1 - 1f4: 01500193 li gp,21 - 1f8: 09df1263 bne t5,t4,27c +000001d4 : + 1d4: 00000213 li tp,0 + 1d8: 00b00093 li ra,11 + 1dc: 00f0af13 slti t5,ra,15 + 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e4: 00200293 li t0,2 + 1e8: fe5218e3 bne tp,t0,1d8 + 1ec: 00100e93 li t4,1 + 1f0: 01500193 li gp,21 + 1f4: 09df1263 bne t5,t4,278 -000001fc : - 1fc: 00000213 li tp,0 - 200: 01100093 li ra,17 - 204: 00000013 nop - 208: 0080af13 slti t5,ra,8 - 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 210: 00200293 li t0,2 - 214: fe5216e3 bne tp,t0,200 - 218: 00000e93 li t4,0 - 21c: 01600193 li gp,22 - 220: 05df1e63 bne t5,t4,27c +000001f8 : + 1f8: 00000213 li tp,0 + 1fc: 01100093 li ra,17 + 200: 00000013 nop + 204: 0080af13 slti t5,ra,8 + 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 20c: 00200293 li t0,2 + 210: fe5216e3 bne tp,t0,1fc + 214: 00000e93 li t4,0 + 218: 01600193 li gp,22 + 21c: 05df1e63 bne t5,t4,278 -00000224 : - 224: 00000213 li tp,0 - 228: 00c00093 li ra,12 +00000220 : + 220: 00000213 li tp,0 + 224: 00c00093 li ra,12 + 228: 00000013 nop 22c: 00000013 nop - 230: 00000013 nop - 234: 00e0af13 slti t5,ra,14 - 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 23c: 00200293 li t0,2 - 240: fe5214e3 bne tp,t0,228 - 244: 00100e93 li t4,1 - 248: 01700193 li gp,23 - 24c: 03df1863 bne t5,t4,27c + 230: 00e0af13 slti t5,ra,14 + 234: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 238: 00200293 li t0,2 + 23c: fe5214e3 bne tp,t0,224 + 240: 00100e93 li t4,1 + 244: 01700193 li gp,23 + 248: 03df1863 bne t5,t4,278 -00000250 : - 250: fff02093 slti ra,zero,-1 - 254: 00000e93 li t4,0 - 258: 01800193 li gp,24 - 25c: 03d09063 bne ra,t4,27c +0000024c : + 24c: fff02093 slti ra,zero,-1 + 250: 00000e93 li t4,0 + 254: 01800193 li gp,24 + 258: 03d09063 bne ra,t4,278 -00000260 : - 260: 00ff00b7 lui ra,0xff0 - 264: 0ff08093 addi ra,ra,255 # ff00ff - 268: fff0a013 slti zero,ra,-1 - 26c: 00000e93 li t4,0 - 270: 01900193 li gp,25 - 274: 01d01463 bne zero,t4,27c - 278: 00301863 bne zero,gp,288 +0000025c : + 25c: 00ff00b7 lui ra,0xff0 + 260: 0ff08093 addi ra,ra,255 # ff00ff + 264: fff0a013 slti zero,ra,-1 + 268: 00000e93 li t4,0 + 26c: 01900193 li gp,25 + 270: 01d01463 bne zero,t4,278 + 274: 00301663 bne zero,gp,280 -0000027c : - 27c: 00000d93 li s11,0 - 280: 00100d13 li s10,1 +00000278 : + 278: 1000d073 csrwi sstatus,1 -00000284 : - 284: 0000006f j 284 +0000027c : + 27c: 0000006f j 27c -00000288 : - 288: 00100d93 li s11,1 - 28c: 00100d13 li s10,1 +00000280 : + 280: 1001d073 csrwi sstatus,3 -00000290 : - 290: 0000006f j 290 +00000284 : + 284: 0000006f j 284 ... Disassembly of section .tohost: @@ -239,14 +236,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-slti.mem b/tests/isa/generated/rv32ui-p-slti.mem index 6dc012b..095aa19 100644 --- a/tests/isa/generated/rv32ui-p-slti.mem +++ b/tests/isa/generated/rv32ui-p-slti.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 0000af13 00000e93 @@ -156,12 +155,10 @@ fff0a013 00000e93 01900193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -208,3 +205,6 @@ fff0a013 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slti.verilog b/tests/isa/generated/rv32ui-p-slti.verilog index d91e95c..6d539a0 100755 --- a/tests/isa/generated/rv32ui-p-slti.verilog +++ b/tests/isa/generated/rv32ui-p-slti.verilog @@ -1,46 +1,46 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 AF 00 00 -93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 -13 AF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 -93 00 30 00 13 AF 70 00 93 0E 10 00 93 01 40 00 -63 1E DF 23 93 00 70 00 13 AF 30 00 93 0E 00 00 -93 01 50 00 63 14 DF 23 93 00 00 00 13 AF 00 80 -93 0E 00 00 93 01 60 00 63 1A DF 21 B7 00 00 80 -13 AF 00 00 93 0E 10 00 93 01 70 00 63 10 DF 21 -B7 00 00 80 13 AF 00 80 93 0E 10 00 93 01 80 00 -63 16 DF 1F 93 00 00 00 13 AF F0 7F 93 0E 10 00 -93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF -13 AF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D -B7 00 00 80 93 80 F0 FF 13 AF F0 7F 93 0E 00 00 -93 01 B0 00 63 14 DF 1B B7 00 00 80 13 AF F0 7F -93 0E 10 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 -93 80 F0 FF 13 AF 00 80 93 0E 00 00 93 01 D0 00 -63 1E DF 17 93 00 00 00 13 AF F0 FF 93 0E 00 00 -93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 AF 10 00 -93 0E 10 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF -13 AF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 -93 00 B0 00 93 A0 D0 00 93 0E 10 00 93 01 10 01 -63 96 D0 13 13 02 00 00 93 00 F0 00 13 AF A0 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 -93 00 A0 00 13 AF 00 01 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 -13 AF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 -13 AF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE -93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 -93 00 10 01 13 00 00 00 13 AF 80 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 -63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 -13 00 00 00 13 AF E0 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 -93 20 F0 FF 93 0E 00 00 93 01 80 01 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 A0 F0 FF 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 00 00 00 13 AF 00 00 93 0E 00 00 +93 01 20 00 63 12 DF 27 93 00 10 00 13 AF 10 00 +93 0E 00 00 93 01 30 00 63 18 DF 25 93 00 30 00 +13 AF 70 00 93 0E 10 00 93 01 40 00 63 1E DF 23 +93 00 70 00 13 AF 30 00 93 0E 00 00 93 01 50 00 +63 14 DF 23 93 00 00 00 13 AF 00 80 93 0E 00 00 +93 01 60 00 63 1A DF 21 B7 00 00 80 13 AF 00 00 +93 0E 10 00 93 01 70 00 63 10 DF 21 B7 00 00 80 +13 AF 00 80 93 0E 10 00 93 01 80 00 63 16 DF 1F +93 00 00 00 13 AF F0 7F 93 0E 10 00 93 01 90 00 +63 1C DF 1D B7 00 00 80 93 80 F0 FF 13 AF 00 00 +93 0E 00 00 93 01 A0 00 63 10 DF 1D B7 00 00 80 +93 80 F0 FF 13 AF F0 7F 93 0E 00 00 93 01 B0 00 +63 14 DF 1B B7 00 00 80 13 AF F0 7F 93 0E 10 00 +93 01 C0 00 63 1A DF 19 B7 00 00 80 93 80 F0 FF +13 AF 00 80 93 0E 00 00 93 01 D0 00 63 1E DF 17 +93 00 00 00 13 AF F0 FF 93 0E 00 00 93 01 E0 00 +63 14 DF 17 93 00 F0 FF 13 AF 10 00 93 0E 10 00 +93 01 F0 00 63 1A DF 15 93 00 F0 FF 13 AF F0 FF +93 0E 00 00 93 01 00 01 63 10 DF 15 93 00 B0 00 +93 A0 D0 00 93 0E 10 00 93 01 10 01 63 96 D0 13 +13 02 00 00 93 00 F0 00 13 AF A0 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 00 +93 01 20 01 63 12 D3 11 13 02 00 00 93 00 A0 00 +13 AF 00 01 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 10 00 93 01 30 01 +63 1C D3 0D 13 02 00 00 93 00 00 01 13 AF 90 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 00 00 93 01 40 01 +63 14 D3 0B 13 02 00 00 93 00 B0 00 13 AF F0 00 +13 02 12 00 93 02 20 00 E3 18 52 FE 93 0E 10 00 +93 01 50 01 63 12 DF 09 13 02 00 00 93 00 10 01 +13 00 00 00 13 AF 80 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 00 93 01 60 01 63 1E DF 05 +13 02 00 00 93 00 C0 00 13 00 00 00 13 00 00 00 +13 AF E0 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 70 01 63 18 DF 03 93 20 F0 FF +93 0E 00 00 93 01 80 01 63 90 D0 03 B7 00 FF 00 +93 80 F0 0F 13 A0 F0 FF 93 0E 00 00 93 01 90 01 +63 14 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sltiu b/tests/isa/generated/rv32ui-p-sltiu index 2dd04c4afefc1bd558cb13c52f0a7bd9b3a12372..899851e13a415d67eadac48865b59f95c1e6e075 100755 GIT binary patch delta 576 zcmYk(u}cDR7{>AU6$`1M15=NnLut@K=&*=}f&^)DxS`QSYVbg^yby;29UL2!Yix9E z32So*a%qVmp&Ft!`U@I+ju4+)?)!P~es{<39_)$TPiy`*^R*K795F^Q<2+&{ZSh{j zXo%pfMq^NYw2JFc)Af#9qH*Lxv$a)l3um^(bZL1|wSsPZw-d#c|3l>o(T>a=t}C6OH{}l&3p%9$ delta 568 zcmX?NaKK=K0;9%8#rymm!n_O&lYw|Mi$EchgoFW@Bg`wnkk0^;5MThaCr=dGAt4DA zDatHPE@NQi2QpcU%8ZRNjS37VCdyB45w@u30183?Bg07`qlO8=7X~tAU|a@91}Pxh z0Ew>%WV;~oHGu30B)&e7orAC__hoT^$b&x1VDzZK;ruV*$0sLAwc#GBz_E# z{RWAj0%Wr=!UPz>mP;Vo&A{{|9& z36TE>iN6NOS3wHVEkJ$%5`T{gP#^(G;4+Zkfy6%o0p@i_{GvWe_DUu8!H5W0 diff --git a/tests/isa/generated/rv32ui-p-sltiu.dump b/tests/isa/generated/rv32ui-p-sltiu.dump index aef0bbf..62fb1fb 100644 --- a/tests/isa/generated/rv32ui-p-sltiu.dump +++ b/tests/isa/generated/rv32ui-p-sltiu.dump @@ -5,227 +5,224 @@ generated/rv32ui-p-sltiu: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 0000bf13 sltiu t5,ra,0 - 10: 00000e93 li t4,0 - 14: 00200193 li gp,2 - 18: 27df1263 bne t5,t4,27c +00000004 : + 4: 00000093 li ra,0 + 8: 0000bf13 sltiu t5,ra,0 + c: 00000e93 li t4,0 + 10: 00200193 li gp,2 + 14: 27df1263 bne t5,t4,278 -0000001c : - 1c: 00100093 li ra,1 - 20: 0010bf13 seqz t5,ra - 24: 00000e93 li t4,0 - 28: 00300193 li gp,3 - 2c: 25df1863 bne t5,t4,27c +00000018 : + 18: 00100093 li ra,1 + 1c: 0010bf13 seqz t5,ra + 20: 00000e93 li t4,0 + 24: 00300193 li gp,3 + 28: 25df1863 bne t5,t4,278 -00000030 : - 30: 00300093 li ra,3 - 34: 0070bf13 sltiu t5,ra,7 - 38: 00100e93 li t4,1 - 3c: 00400193 li gp,4 - 40: 23df1e63 bne t5,t4,27c +0000002c : + 2c: 00300093 li ra,3 + 30: 0070bf13 sltiu t5,ra,7 + 34: 00100e93 li t4,1 + 38: 00400193 li gp,4 + 3c: 23df1e63 bne t5,t4,278 -00000044 : - 44: 00700093 li ra,7 - 48: 0030bf13 sltiu t5,ra,3 - 4c: 00000e93 li t4,0 - 50: 00500193 li gp,5 - 54: 23df1463 bne t5,t4,27c +00000040 : + 40: 00700093 li ra,7 + 44: 0030bf13 sltiu t5,ra,3 + 48: 00000e93 li t4,0 + 4c: 00500193 li gp,5 + 50: 23df1463 bne t5,t4,278 -00000058 : - 58: 00000093 li ra,0 - 5c: 8000bf13 sltiu t5,ra,-2048 - 60: 00100e93 li t4,1 - 64: 00600193 li gp,6 - 68: 21df1a63 bne t5,t4,27c +00000054 : + 54: 00000093 li ra,0 + 58: 8000bf13 sltiu t5,ra,-2048 + 5c: 00100e93 li t4,1 + 60: 00600193 li gp,6 + 64: 21df1a63 bne t5,t4,278 -0000006c : - 6c: 800000b7 lui ra,0x80000 - 70: 0000bf13 sltiu t5,ra,0 - 74: 00000e93 li t4,0 - 78: 00700193 li gp,7 - 7c: 21df1063 bne t5,t4,27c +00000068 : + 68: 800000b7 lui ra,0x80000 + 6c: 0000bf13 sltiu t5,ra,0 + 70: 00000e93 li t4,0 + 74: 00700193 li gp,7 + 78: 21df1063 bne t5,t4,278 -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: 8000bf13 sltiu t5,ra,-2048 - 88: 00100e93 li t4,1 - 8c: 00800193 li gp,8 - 90: 1fdf1663 bne t5,t4,27c +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: 8000bf13 sltiu t5,ra,-2048 + 84: 00100e93 li t4,1 + 88: 00800193 li gp,8 + 8c: 1fdf1663 bne t5,t4,278 -00000094 : - 94: 00000093 li ra,0 - 98: 7ff0bf13 sltiu t5,ra,2047 - 9c: 00100e93 li t4,1 - a0: 00900193 li gp,9 - a4: 1ddf1c63 bne t5,t4,27c +00000090 : + 90: 00000093 li ra,0 + 94: 7ff0bf13 sltiu t5,ra,2047 + 98: 00100e93 li t4,1 + 9c: 00900193 li gp,9 + a0: 1ddf1c63 bne t5,t4,278 -000000a8 : - a8: 800000b7 lui ra,0x80000 - ac: fff08093 addi ra,ra,-1 # 7fffffff - b0: 0000bf13 sltiu t5,ra,0 - b4: 00000e93 li t4,0 - b8: 00a00193 li gp,10 - bc: 1ddf1063 bne t5,t4,27c +000000a4 : + a4: 800000b7 lui ra,0x80000 + a8: fff08093 addi ra,ra,-1 # 7fffffff + ac: 0000bf13 sltiu t5,ra,0 + b0: 00000e93 li t4,0 + b4: 00a00193 li gp,10 + b8: 1ddf1063 bne t5,t4,278 -000000c0 : - c0: 800000b7 lui ra,0x80000 - c4: fff08093 addi ra,ra,-1 # 7fffffff - c8: 7ff0bf13 sltiu t5,ra,2047 - cc: 00000e93 li t4,0 - d0: 00b00193 li gp,11 - d4: 1bdf1463 bne t5,t4,27c +000000bc : + bc: 800000b7 lui ra,0x80000 + c0: fff08093 addi ra,ra,-1 # 7fffffff + c4: 7ff0bf13 sltiu t5,ra,2047 + c8: 00000e93 li t4,0 + cc: 00b00193 li gp,11 + d0: 1bdf1463 bne t5,t4,278 -000000d8 : - d8: 800000b7 lui ra,0x80000 - dc: 7ff0bf13 sltiu t5,ra,2047 - e0: 00000e93 li t4,0 - e4: 00c00193 li gp,12 - e8: 19df1a63 bne t5,t4,27c +000000d4 : + d4: 800000b7 lui ra,0x80000 + d8: 7ff0bf13 sltiu t5,ra,2047 + dc: 00000e93 li t4,0 + e0: 00c00193 li gp,12 + e4: 19df1a63 bne t5,t4,278 -000000ec : - ec: 800000b7 lui ra,0x80000 - f0: fff08093 addi ra,ra,-1 # 7fffffff - f4: 8000bf13 sltiu t5,ra,-2048 - f8: 00100e93 li t4,1 - fc: 00d00193 li gp,13 - 100: 17df1e63 bne t5,t4,27c +000000e8 : + e8: 800000b7 lui ra,0x80000 + ec: fff08093 addi ra,ra,-1 # 7fffffff + f0: 8000bf13 sltiu t5,ra,-2048 + f4: 00100e93 li t4,1 + f8: 00d00193 li gp,13 + fc: 17df1e63 bne t5,t4,278 -00000104 : - 104: 00000093 li ra,0 - 108: fff0bf13 sltiu t5,ra,-1 - 10c: 00100e93 li t4,1 - 110: 00e00193 li gp,14 - 114: 17df1463 bne t5,t4,27c +00000100 : + 100: 00000093 li ra,0 + 104: fff0bf13 sltiu t5,ra,-1 + 108: 00100e93 li t4,1 + 10c: 00e00193 li gp,14 + 110: 17df1463 bne t5,t4,278 -00000118 : - 118: fff00093 li ra,-1 - 11c: 0010bf13 seqz t5,ra - 120: 00000e93 li t4,0 - 124: 00f00193 li gp,15 - 128: 15df1a63 bne t5,t4,27c +00000114 : + 114: fff00093 li ra,-1 + 118: 0010bf13 seqz t5,ra + 11c: 00000e93 li t4,0 + 120: 00f00193 li gp,15 + 124: 15df1a63 bne t5,t4,278 -0000012c : - 12c: fff00093 li ra,-1 - 130: fff0bf13 sltiu t5,ra,-1 - 134: 00000e93 li t4,0 - 138: 01000193 li gp,16 - 13c: 15df1063 bne t5,t4,27c +00000128 : + 128: fff00093 li ra,-1 + 12c: fff0bf13 sltiu t5,ra,-1 + 130: 00000e93 li t4,0 + 134: 01000193 li gp,16 + 138: 15df1063 bne t5,t4,278 -00000140 : - 140: 00b00093 li ra,11 - 144: 00d0b093 sltiu ra,ra,13 - 148: 00100e93 li t4,1 - 14c: 01100193 li gp,17 - 150: 13d09663 bne ra,t4,27c +0000013c : + 13c: 00b00093 li ra,11 + 140: 00d0b093 sltiu ra,ra,13 + 144: 00100e93 li t4,1 + 148: 01100193 li gp,17 + 14c: 13d09663 bne ra,t4,278 -00000154 : - 154: 00000213 li tp,0 - 158: 00f00093 li ra,15 - 15c: 00a0bf13 sltiu t5,ra,10 - 160: 000f0313 mv t1,t5 - 164: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 168: 00200293 li t0,2 - 16c: fe5216e3 bne tp,t0,158 - 170: 00000e93 li t4,0 - 174: 01200193 li gp,18 - 178: 11d31263 bne t1,t4,27c +00000150 : + 150: 00000213 li tp,0 + 154: 00f00093 li ra,15 + 158: 00a0bf13 sltiu t5,ra,10 + 15c: 000f0313 mv t1,t5 + 160: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 164: 00200293 li t0,2 + 168: fe5216e3 bne tp,t0,154 + 16c: 00000e93 li t4,0 + 170: 01200193 li gp,18 + 174: 11d31263 bne t1,t4,278 -0000017c : - 17c: 00000213 li tp,0 - 180: 00a00093 li ra,10 - 184: 0100bf13 sltiu t5,ra,16 - 188: 00000013 nop - 18c: 000f0313 mv t1,t5 - 190: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 194: 00200293 li t0,2 - 198: fe5214e3 bne tp,t0,180 - 19c: 00100e93 li t4,1 - 1a0: 01300193 li gp,19 - 1a4: 0dd31c63 bne t1,t4,27c +00000178 : + 178: 00000213 li tp,0 + 17c: 00a00093 li ra,10 + 180: 0100bf13 sltiu t5,ra,16 + 184: 00000013 nop + 188: 000f0313 mv t1,t5 + 18c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 190: 00200293 li t0,2 + 194: fe5214e3 bne tp,t0,17c + 198: 00100e93 li t4,1 + 19c: 01300193 li gp,19 + 1a0: 0dd31c63 bne t1,t4,278 -000001a8 : - 1a8: 00000213 li tp,0 - 1ac: 01000093 li ra,16 - 1b0: 0090bf13 sltiu t5,ra,9 +000001a4 : + 1a4: 00000213 li tp,0 + 1a8: 01000093 li ra,16 + 1ac: 0090bf13 sltiu t5,ra,9 + 1b0: 00000013 nop 1b4: 00000013 nop - 1b8: 00000013 nop - 1bc: 000f0313 mv t1,t5 - 1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c4: 00200293 li t0,2 - 1c8: fe5212e3 bne tp,t0,1ac - 1cc: 00000e93 li t4,0 - 1d0: 01400193 li gp,20 - 1d4: 0bd31463 bne t1,t4,27c + 1b8: 000f0313 mv t1,t5 + 1bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c0: 00200293 li t0,2 + 1c4: fe5212e3 bne tp,t0,1a8 + 1c8: 00000e93 li t4,0 + 1cc: 01400193 li gp,20 + 1d0: 0bd31463 bne t1,t4,278 -000001d8 : - 1d8: 00000213 li tp,0 - 1dc: 00b00093 li ra,11 - 1e0: 00f0bf13 sltiu t5,ra,15 - 1e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e8: 00200293 li t0,2 - 1ec: fe5218e3 bne tp,t0,1dc - 1f0: 00100e93 li t4,1 - 1f4: 01500193 li gp,21 - 1f8: 09df1263 bne t5,t4,27c +000001d4 : + 1d4: 00000213 li tp,0 + 1d8: 00b00093 li ra,11 + 1dc: 00f0bf13 sltiu t5,ra,15 + 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e4: 00200293 li t0,2 + 1e8: fe5218e3 bne tp,t0,1d8 + 1ec: 00100e93 li t4,1 + 1f0: 01500193 li gp,21 + 1f4: 09df1263 bne t5,t4,278 -000001fc : - 1fc: 00000213 li tp,0 - 200: 01100093 li ra,17 - 204: 00000013 nop - 208: 0080bf13 sltiu t5,ra,8 - 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 210: 00200293 li t0,2 - 214: fe5216e3 bne tp,t0,200 - 218: 00000e93 li t4,0 - 21c: 01600193 li gp,22 - 220: 05df1e63 bne t5,t4,27c +000001f8 : + 1f8: 00000213 li tp,0 + 1fc: 01100093 li ra,17 + 200: 00000013 nop + 204: 0080bf13 sltiu t5,ra,8 + 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 20c: 00200293 li t0,2 + 210: fe5216e3 bne tp,t0,1fc + 214: 00000e93 li t4,0 + 218: 01600193 li gp,22 + 21c: 05df1e63 bne t5,t4,278 -00000224 : - 224: 00000213 li tp,0 - 228: 00c00093 li ra,12 +00000220 : + 220: 00000213 li tp,0 + 224: 00c00093 li ra,12 + 228: 00000013 nop 22c: 00000013 nop - 230: 00000013 nop - 234: 00e0bf13 sltiu t5,ra,14 - 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 23c: 00200293 li t0,2 - 240: fe5214e3 bne tp,t0,228 - 244: 00100e93 li t4,1 - 248: 01700193 li gp,23 - 24c: 03df1863 bne t5,t4,27c + 230: 00e0bf13 sltiu t5,ra,14 + 234: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 238: 00200293 li t0,2 + 23c: fe5214e3 bne tp,t0,224 + 240: 00100e93 li t4,1 + 244: 01700193 li gp,23 + 248: 03df1863 bne t5,t4,278 -00000250 : - 250: fff03093 sltiu ra,zero,-1 - 254: 00100e93 li t4,1 - 258: 01800193 li gp,24 - 25c: 03d09063 bne ra,t4,27c +0000024c : + 24c: fff03093 sltiu ra,zero,-1 + 250: 00100e93 li t4,1 + 254: 01800193 li gp,24 + 258: 03d09063 bne ra,t4,278 -00000260 : - 260: 00ff00b7 lui ra,0xff0 - 264: 0ff08093 addi ra,ra,255 # ff00ff - 268: fff0b013 sltiu zero,ra,-1 - 26c: 00000e93 li t4,0 - 270: 01900193 li gp,25 - 274: 01d01463 bne zero,t4,27c - 278: 00301863 bne zero,gp,288 +0000025c : + 25c: 00ff00b7 lui ra,0xff0 + 260: 0ff08093 addi ra,ra,255 # ff00ff + 264: fff0b013 sltiu zero,ra,-1 + 268: 00000e93 li t4,0 + 26c: 01900193 li gp,25 + 270: 01d01463 bne zero,t4,278 + 274: 00301663 bne zero,gp,280 -0000027c : - 27c: 00000d93 li s11,0 - 280: 00100d13 li s10,1 +00000278 : + 278: 1000d073 csrwi sstatus,1 -00000284 : - 284: 0000006f j 284 +0000027c : + 27c: 0000006f j 27c -00000288 : - 288: 00100d93 li s11,1 - 28c: 00100d13 li s10,1 +00000280 : + 280: 1001d073 csrwi sstatus,3 -00000290 : - 290: 0000006f j 290 +00000284 : + 284: 0000006f j 284 ... Disassembly of section .tohost: @@ -239,14 +236,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sltiu.mem b/tests/isa/generated/rv32ui-p-sltiu.mem index e4da9cc..527682c 100644 --- a/tests/isa/generated/rv32ui-p-sltiu.mem +++ b/tests/isa/generated/rv32ui-p-sltiu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 0000bf13 00000e93 @@ -156,12 +155,10 @@ fff0b013 00000e93 01900193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -208,3 +205,6 @@ fff0b013 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sltiu.verilog b/tests/isa/generated/rv32ui-p-sltiu.verilog index c77cd44..ebc4f5b 100755 --- a/tests/isa/generated/rv32ui-p-sltiu.verilog +++ b/tests/isa/generated/rv32ui-p-sltiu.verilog @@ -1,46 +1,46 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 BF 00 00 -93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 -13 BF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 -93 00 30 00 13 BF 70 00 93 0E 10 00 93 01 40 00 -63 1E DF 23 93 00 70 00 13 BF 30 00 93 0E 00 00 -93 01 50 00 63 14 DF 23 93 00 00 00 13 BF 00 80 -93 0E 10 00 93 01 60 00 63 1A DF 21 B7 00 00 80 -13 BF 00 00 93 0E 00 00 93 01 70 00 63 10 DF 21 -B7 00 00 80 13 BF 00 80 93 0E 10 00 93 01 80 00 -63 16 DF 1F 93 00 00 00 13 BF F0 7F 93 0E 10 00 -93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF -13 BF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D -B7 00 00 80 93 80 F0 FF 13 BF F0 7F 93 0E 00 00 -93 01 B0 00 63 14 DF 1B B7 00 00 80 13 BF F0 7F -93 0E 00 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 -93 80 F0 FF 13 BF 00 80 93 0E 10 00 93 01 D0 00 -63 1E DF 17 93 00 00 00 13 BF F0 FF 93 0E 10 00 -93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 BF 10 00 -93 0E 00 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF -13 BF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 -93 00 B0 00 93 B0 D0 00 93 0E 10 00 93 01 10 01 -63 96 D0 13 13 02 00 00 93 00 F0 00 13 BF A0 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 -93 00 A0 00 13 BF 00 01 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 -13 BF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 -13 BF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE -93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 -93 00 10 01 13 00 00 00 13 BF 80 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 -63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 -13 00 00 00 13 BF E0 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 -93 30 F0 FF 93 0E 10 00 93 01 80 01 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 B0 F0 FF 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 00 00 00 13 BF 00 00 93 0E 00 00 +93 01 20 00 63 12 DF 27 93 00 10 00 13 BF 10 00 +93 0E 00 00 93 01 30 00 63 18 DF 25 93 00 30 00 +13 BF 70 00 93 0E 10 00 93 01 40 00 63 1E DF 23 +93 00 70 00 13 BF 30 00 93 0E 00 00 93 01 50 00 +63 14 DF 23 93 00 00 00 13 BF 00 80 93 0E 10 00 +93 01 60 00 63 1A DF 21 B7 00 00 80 13 BF 00 00 +93 0E 00 00 93 01 70 00 63 10 DF 21 B7 00 00 80 +13 BF 00 80 93 0E 10 00 93 01 80 00 63 16 DF 1F +93 00 00 00 13 BF F0 7F 93 0E 10 00 93 01 90 00 +63 1C DF 1D B7 00 00 80 93 80 F0 FF 13 BF 00 00 +93 0E 00 00 93 01 A0 00 63 10 DF 1D B7 00 00 80 +93 80 F0 FF 13 BF F0 7F 93 0E 00 00 93 01 B0 00 +63 14 DF 1B B7 00 00 80 13 BF F0 7F 93 0E 00 00 +93 01 C0 00 63 1A DF 19 B7 00 00 80 93 80 F0 FF +13 BF 00 80 93 0E 10 00 93 01 D0 00 63 1E DF 17 +93 00 00 00 13 BF F0 FF 93 0E 10 00 93 01 E0 00 +63 14 DF 17 93 00 F0 FF 13 BF 10 00 93 0E 00 00 +93 01 F0 00 63 1A DF 15 93 00 F0 FF 13 BF F0 FF +93 0E 00 00 93 01 00 01 63 10 DF 15 93 00 B0 00 +93 B0 D0 00 93 0E 10 00 93 01 10 01 63 96 D0 13 +13 02 00 00 93 00 F0 00 13 BF A0 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 00 +93 01 20 01 63 12 D3 11 13 02 00 00 93 00 A0 00 +13 BF 00 01 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 10 00 93 01 30 01 +63 1C D3 0D 13 02 00 00 93 00 00 01 13 BF 90 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 00 00 93 01 40 01 +63 14 D3 0B 13 02 00 00 93 00 B0 00 13 BF F0 00 +13 02 12 00 93 02 20 00 E3 18 52 FE 93 0E 10 00 +93 01 50 01 63 12 DF 09 13 02 00 00 93 00 10 01 +13 00 00 00 13 BF 80 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 00 93 01 60 01 63 1E DF 05 +13 02 00 00 93 00 C0 00 13 00 00 00 13 00 00 00 +13 BF E0 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 70 01 63 18 DF 03 93 30 F0 FF +93 0E 10 00 93 01 80 01 63 90 D0 03 B7 00 FF 00 +93 80 F0 0F 13 B0 F0 FF 93 0E 00 00 93 01 90 01 +63 14 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sltu b/tests/isa/generated/rv32ui-p-sltu index b5fe71c0c1a026de8ce38f7611df83ba66022343..d9002316637576ab3bca971785aeb57a89f3086a 100755 GIT binary patch delta 767 zcmYk&JxD@P7zW_))J>#RN&Ci($A0}85&X$*x=}(+(w52 zhX$cShX#j+0tW{NhX$d=p`o_MhTeOldcWbE_xaAf_ndPdW-GHkbV=@knAnDXJH-Bc7ZNL;}Ztv8&Fm+^*Yr?4L_%SotX> zQ%a=v*HB`<<@#L~B}2$FWH0g>c?|i0Jc)cx4kBNY7e!K1q{cFGm27^zMGhltIOI<$ z*+KA-&CM6cQ8-LKfurPe>@R6*r13zWoPo<^^Ym469{wa3U|$;stCV%QZE_j?3vvbf zOOYB+aFbkv?d=$>QqsUYM{dH0MdeH^}2~{oghTqVZy!IgV`Wv5nYDsPy4jYEy1w!a1UDj4kJ=dNa;2?itt4 F!EZ`bN-zKb delta 778 zcmYk)F-QVo90l6V&zoW(9qDJTth>H zkYj^kLxV$uZ4M0$4MGhL4Gjei4Gld{!uN;!?)~0(aCh7_V)wCsX!g>x&!9hq3yXd(NbW^@w*=RrOVGP+`{_G;iYXOQ3cA E0qBcKl>h($ diff --git a/tests/isa/generated/rv32ui-p-sltu.bin b/tests/isa/generated/rv32ui-p-sltu.bin index 8fde02c28cb0545dc980758fd541016d75625421..ac20c265dfeb14cba9c77bc31570d11c793ae139 100755 GIT binary patch delta 37 rcmeC+?%-xA4qy=2$Z?cKK+J%l_yU7KJ_7@gW)#Su{E=n)BvuIkw8RQ2 delta 46 rcmeC+?%?JS=4D`*48$8*j: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 0020bf33 sltu t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4bdf1a63 bne t5,t4,4d0 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 0020bf33 sltu t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4bdf1a63 bne t5,t4,4cc -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 0020bf33 sltu t5,ra,sp - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 49df1e63 bne t5,t4,4d0 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 0020bf33 sltu t5,ra,sp + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 49df1e63 bne t5,t4,4cc -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 0020bf33 sltu t5,ra,sp - 44: 00100e93 li t4,1 - 48: 00400193 li gp,4 - 4c: 49df1263 bne t5,t4,4d0 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 0020bf33 sltu t5,ra,sp + 40: 00100e93 li t4,1 + 44: 00400193 li gp,4 + 48: 49df1263 bne t5,t4,4cc -00000050 : - 50: 00700093 li ra,7 - 54: 00300113 li sp,3 - 58: 0020bf33 sltu t5,ra,sp - 5c: 00000e93 li t4,0 - 60: 00500193 li gp,5 - 64: 47df1663 bne t5,t4,4d0 +0000004c : + 4c: 00700093 li ra,7 + 50: 00300113 li sp,3 + 54: 0020bf33 sltu t5,ra,sp + 58: 00000e93 li t4,0 + 5c: 00500193 li gp,5 + 60: 47df1663 bne t5,t4,4cc -00000068 : - 68: 00000093 li ra,0 - 6c: ffff8137 lui sp,0xffff8 - 70: 0020bf33 sltu t5,ra,sp - 74: 00100e93 li t4,1 - 78: 00600193 li gp,6 - 7c: 45df1a63 bne t5,t4,4d0 +00000064 : + 64: 00000093 li ra,0 + 68: ffff8137 lui sp,0xffff8 + 6c: 0020bf33 sltu t5,ra,sp + 70: 00100e93 li t4,1 + 74: 00600193 li gp,6 + 78: 45df1a63 bne t5,t4,4cc -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: 00000113 li sp,0 - 88: 0020bf33 sltu t5,ra,sp - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 43df1e63 bne t5,t4,4d0 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: 00000113 li sp,0 + 84: 0020bf33 sltu t5,ra,sp + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 43df1e63 bne t5,t4,4cc -00000098 : - 98: 800000b7 lui ra,0x80000 - 9c: ffff8137 lui sp,0xffff8 - a0: 0020bf33 sltu t5,ra,sp - a4: 00100e93 li t4,1 - a8: 00800193 li gp,8 - ac: 43df1263 bne t5,t4,4d0 +00000094 : + 94: 800000b7 lui ra,0x80000 + 98: ffff8137 lui sp,0xffff8 + 9c: 0020bf33 sltu t5,ra,sp + a0: 00100e93 li t4,1 + a4: 00800193 li gp,8 + a8: 43df1263 bne t5,t4,4cc -000000b0 : - b0: 00000093 li ra,0 - b4: 00008137 lui sp,0x8 - b8: fff10113 addi sp,sp,-1 # 7fff - bc: 0020bf33 sltu t5,ra,sp - c0: 00100e93 li t4,1 - c4: 00900193 li gp,9 - c8: 41df1463 bne t5,t4,4d0 +000000ac : + ac: 00000093 li ra,0 + b0: 00008137 lui sp,0x8 + b4: fff10113 addi sp,sp,-1 # 7fff + b8: 0020bf33 sltu t5,ra,sp + bc: 00100e93 li t4,1 + c0: 00900193 li gp,9 + c4: 41df1463 bne t5,t4,4cc -000000cc : - cc: 800000b7 lui ra,0x80000 - d0: fff08093 addi ra,ra,-1 # 7fffffff - d4: 00000113 li sp,0 - d8: 0020bf33 sltu t5,ra,sp - dc: 00000e93 li t4,0 - e0: 00a00193 li gp,10 - e4: 3fdf1663 bne t5,t4,4d0 +000000c8 : + c8: 800000b7 lui ra,0x80000 + cc: fff08093 addi ra,ra,-1 # 7fffffff + d0: 00000113 li sp,0 + d4: 0020bf33 sltu t5,ra,sp + d8: 00000e93 li t4,0 + dc: 00a00193 li gp,10 + e0: 3fdf1663 bne t5,t4,4cc -000000e8 : - e8: 800000b7 lui ra,0x80000 - ec: fff08093 addi ra,ra,-1 # 7fffffff - f0: 00008137 lui sp,0x8 - f4: fff10113 addi sp,sp,-1 # 7fff - f8: 0020bf33 sltu t5,ra,sp - fc: 00000e93 li t4,0 - 100: 00b00193 li gp,11 - 104: 3ddf1663 bne t5,t4,4d0 +000000e4 : + e4: 800000b7 lui ra,0x80000 + e8: fff08093 addi ra,ra,-1 # 7fffffff + ec: 00008137 lui sp,0x8 + f0: fff10113 addi sp,sp,-1 # 7fff + f4: 0020bf33 sltu t5,ra,sp + f8: 00000e93 li t4,0 + fc: 00b00193 li gp,11 + 100: 3ddf1663 bne t5,t4,4cc -00000108 : - 108: 800000b7 lui ra,0x80000 - 10c: 00008137 lui sp,0x8 - 110: fff10113 addi sp,sp,-1 # 7fff - 114: 0020bf33 sltu t5,ra,sp - 118: 00000e93 li t4,0 - 11c: 00c00193 li gp,12 - 120: 3bdf1863 bne t5,t4,4d0 +00000104 : + 104: 800000b7 lui ra,0x80000 + 108: 00008137 lui sp,0x8 + 10c: fff10113 addi sp,sp,-1 # 7fff + 110: 0020bf33 sltu t5,ra,sp + 114: 00000e93 li t4,0 + 118: 00c00193 li gp,12 + 11c: 3bdf1863 bne t5,t4,4cc -00000124 : - 124: 800000b7 lui ra,0x80000 - 128: fff08093 addi ra,ra,-1 # 7fffffff - 12c: ffff8137 lui sp,0xffff8 - 130: 0020bf33 sltu t5,ra,sp - 134: 00100e93 li t4,1 - 138: 00d00193 li gp,13 - 13c: 39df1a63 bne t5,t4,4d0 +00000120 : + 120: 800000b7 lui ra,0x80000 + 124: fff08093 addi ra,ra,-1 # 7fffffff + 128: ffff8137 lui sp,0xffff8 + 12c: 0020bf33 sltu t5,ra,sp + 130: 00100e93 li t4,1 + 134: 00d00193 li gp,13 + 138: 39df1a63 bne t5,t4,4cc -00000140 : - 140: 00000093 li ra,0 - 144: fff00113 li sp,-1 - 148: 0020bf33 sltu t5,ra,sp - 14c: 00100e93 li t4,1 - 150: 00e00193 li gp,14 - 154: 37df1e63 bne t5,t4,4d0 +0000013c : + 13c: 00000093 li ra,0 + 140: fff00113 li sp,-1 + 144: 0020bf33 sltu t5,ra,sp + 148: 00100e93 li t4,1 + 14c: 00e00193 li gp,14 + 150: 37df1e63 bne t5,t4,4cc -00000158 : - 158: fff00093 li ra,-1 - 15c: 00100113 li sp,1 - 160: 0020bf33 sltu t5,ra,sp - 164: 00000e93 li t4,0 - 168: 00f00193 li gp,15 - 16c: 37df1263 bne t5,t4,4d0 +00000154 : + 154: fff00093 li ra,-1 + 158: 00100113 li sp,1 + 15c: 0020bf33 sltu t5,ra,sp + 160: 00000e93 li t4,0 + 164: 00f00193 li gp,15 + 168: 37df1263 bne t5,t4,4cc -00000170 : - 170: fff00093 li ra,-1 - 174: fff00113 li sp,-1 - 178: 0020bf33 sltu t5,ra,sp - 17c: 00000e93 li t4,0 - 180: 01000193 li gp,16 - 184: 35df1663 bne t5,t4,4d0 +0000016c : + 16c: fff00093 li ra,-1 + 170: fff00113 li sp,-1 + 174: 0020bf33 sltu t5,ra,sp + 178: 00000e93 li t4,0 + 17c: 01000193 li gp,16 + 180: 35df1663 bne t5,t4,4cc -00000188 : - 188: 00e00093 li ra,14 - 18c: 00d00113 li sp,13 - 190: 0020b0b3 sltu ra,ra,sp - 194: 00000e93 li t4,0 - 198: 01100193 li gp,17 - 19c: 33d09a63 bne ra,t4,4d0 +00000184 : + 184: 00e00093 li ra,14 + 188: 00d00113 li sp,13 + 18c: 0020b0b3 sltu ra,ra,sp + 190: 00000e93 li t4,0 + 194: 01100193 li gp,17 + 198: 33d09a63 bne ra,t4,4cc -000001a0 : - 1a0: 00b00093 li ra,11 - 1a4: 00d00113 li sp,13 - 1a8: 0020b133 sltu sp,ra,sp - 1ac: 00100e93 li t4,1 - 1b0: 01200193 li gp,18 - 1b4: 31d11e63 bne sp,t4,4d0 +0000019c : + 19c: 00b00093 li ra,11 + 1a0: 00d00113 li sp,13 + 1a4: 0020b133 sltu sp,ra,sp + 1a8: 00100e93 li t4,1 + 1ac: 01200193 li gp,18 + 1b0: 31d11e63 bne sp,t4,4cc -000001b8 : - 1b8: 00d00093 li ra,13 - 1bc: 0010b0b3 sltu ra,ra,ra - 1c0: 00000e93 li t4,0 - 1c4: 01300193 li gp,19 - 1c8: 31d09463 bne ra,t4,4d0 +000001b4 : + 1b4: 00d00093 li ra,13 + 1b8: 0010b0b3 sltu ra,ra,ra + 1bc: 00000e93 li t4,0 + 1c0: 01300193 li gp,19 + 1c4: 31d09463 bne ra,t4,4cc -000001cc : - 1cc: 00000213 li tp,0 - 1d0: 00b00093 li ra,11 - 1d4: 00d00113 li sp,13 - 1d8: 0020bf33 sltu t5,ra,sp - 1dc: 000f0313 mv t1,t5 - 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e4: 00200293 li t0,2 - 1e8: fe5214e3 bne tp,t0,1d0 - 1ec: 00100e93 li t4,1 - 1f0: 01400193 li gp,20 - 1f4: 2dd31e63 bne t1,t4,4d0 +000001c8 : + 1c8: 00000213 li tp,0 + 1cc: 00b00093 li ra,11 + 1d0: 00d00113 li sp,13 + 1d4: 0020bf33 sltu t5,ra,sp + 1d8: 000f0313 mv t1,t5 + 1dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e0: 00200293 li t0,2 + 1e4: fe5214e3 bne tp,t0,1cc + 1e8: 00100e93 li t4,1 + 1ec: 01400193 li gp,20 + 1f0: 2dd31e63 bne t1,t4,4cc -000001f8 : - 1f8: 00000213 li tp,0 - 1fc: 00e00093 li ra,14 - 200: 00d00113 li sp,13 - 204: 0020bf33 sltu t5,ra,sp - 208: 00000013 nop - 20c: 000f0313 mv t1,t5 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5212e3 bne tp,t0,1fc - 21c: 00000e93 li t4,0 - 220: 01500193 li gp,21 - 224: 2bd31663 bne t1,t4,4d0 +000001f4 : + 1f4: 00000213 li tp,0 + 1f8: 00e00093 li ra,14 + 1fc: 00d00113 li sp,13 + 200: 0020bf33 sltu t5,ra,sp + 204: 00000013 nop + 208: 000f0313 mv t1,t5 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5212e3 bne tp,t0,1f8 + 218: 00000e93 li t4,0 + 21c: 01500193 li gp,21 + 220: 2bd31663 bne t1,t4,4cc -00000228 : - 228: 00000213 li tp,0 - 22c: 00c00093 li ra,12 - 230: 00d00113 li sp,13 - 234: 0020bf33 sltu t5,ra,sp +00000224 : + 224: 00000213 li tp,0 + 228: 00c00093 li ra,12 + 22c: 00d00113 li sp,13 + 230: 0020bf33 sltu t5,ra,sp + 234: 00000013 nop 238: 00000013 nop - 23c: 00000013 nop - 240: 000f0313 mv t1,t5 - 244: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 248: 00200293 li t0,2 - 24c: fe5210e3 bne tp,t0,22c - 250: 00100e93 li t4,1 - 254: 01600193 li gp,22 - 258: 27d31c63 bne t1,t4,4d0 + 23c: 000f0313 mv t1,t5 + 240: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 244: 00200293 li t0,2 + 248: fe5210e3 bne tp,t0,228 + 24c: 00100e93 li t4,1 + 250: 01600193 li gp,22 + 254: 27d31c63 bne t1,t4,4cc -0000025c : - 25c: 00000213 li tp,0 - 260: 00e00093 li ra,14 - 264: 00d00113 li sp,13 - 268: 0020bf33 sltu t5,ra,sp - 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 270: 00200293 li t0,2 - 274: fe5216e3 bne tp,t0,260 - 278: 00000e93 li t4,0 - 27c: 01700193 li gp,23 - 280: 25df1863 bne t5,t4,4d0 +00000258 : + 258: 00000213 li tp,0 + 25c: 00e00093 li ra,14 + 260: 00d00113 li sp,13 + 264: 0020bf33 sltu t5,ra,sp + 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 26c: 00200293 li t0,2 + 270: fe5216e3 bne tp,t0,25c + 274: 00000e93 li t4,0 + 278: 01700193 li gp,23 + 27c: 25df1863 bne t5,t4,4cc -00000284 : - 284: 00000213 li tp,0 - 288: 00b00093 li ra,11 - 28c: 00d00113 li sp,13 - 290: 00000013 nop - 294: 0020bf33 sltu t5,ra,sp - 298: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 29c: 00200293 li t0,2 - 2a0: fe5214e3 bne tp,t0,288 - 2a4: 00100e93 li t4,1 - 2a8: 01800193 li gp,24 - 2ac: 23df1263 bne t5,t4,4d0 +00000280 : + 280: 00000213 li tp,0 + 284: 00b00093 li ra,11 + 288: 00d00113 li sp,13 + 28c: 00000013 nop + 290: 0020bf33 sltu t5,ra,sp + 294: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 298: 00200293 li t0,2 + 29c: fe5214e3 bne tp,t0,284 + 2a0: 00100e93 li t4,1 + 2a4: 01800193 li gp,24 + 2a8: 23df1263 bne t5,t4,4cc -000002b0 : - 2b0: 00000213 li tp,0 - 2b4: 00f00093 li ra,15 - 2b8: 00d00113 li sp,13 +000002ac : + 2ac: 00000213 li tp,0 + 2b0: 00f00093 li ra,15 + 2b4: 00d00113 li sp,13 + 2b8: 00000013 nop 2bc: 00000013 nop - 2c0: 00000013 nop - 2c4: 0020bf33 sltu t5,ra,sp - 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2cc: 00200293 li t0,2 - 2d0: fe5212e3 bne tp,t0,2b4 - 2d4: 00000e93 li t4,0 - 2d8: 01900193 li gp,25 - 2dc: 1fdf1a63 bne t5,t4,4d0 + 2c0: 0020bf33 sltu t5,ra,sp + 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c8: 00200293 li t0,2 + 2cc: fe5212e3 bne tp,t0,2b0 + 2d0: 00000e93 li t4,0 + 2d4: 01900193 li gp,25 + 2d8: 1fdf1a63 bne t5,t4,4cc -000002e0 : - 2e0: 00000213 li tp,0 - 2e4: 00a00093 li ra,10 - 2e8: 00000013 nop - 2ec: 00d00113 li sp,13 - 2f0: 0020bf33 sltu t5,ra,sp - 2f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f8: 00200293 li t0,2 - 2fc: fe5214e3 bne tp,t0,2e4 - 300: 00100e93 li t4,1 - 304: 01a00193 li gp,26 - 308: 1ddf1463 bne t5,t4,4d0 +000002dc : + 2dc: 00000213 li tp,0 + 2e0: 00a00093 li ra,10 + 2e4: 00000013 nop + 2e8: 00d00113 li sp,13 + 2ec: 0020bf33 sltu t5,ra,sp + 2f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2f4: 00200293 li t0,2 + 2f8: fe5214e3 bne tp,t0,2e0 + 2fc: 00100e93 li t4,1 + 300: 01a00193 li gp,26 + 304: 1ddf1463 bne t5,t4,4cc -0000030c : - 30c: 00000213 li tp,0 - 310: 01000093 li ra,16 - 314: 00000013 nop - 318: 00d00113 li sp,13 - 31c: 00000013 nop - 320: 0020bf33 sltu t5,ra,sp - 324: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 328: 00200293 li t0,2 - 32c: fe5212e3 bne tp,t0,310 - 330: 00000e93 li t4,0 - 334: 01b00193 li gp,27 - 338: 19df1c63 bne t5,t4,4d0 +00000308 : + 308: 00000213 li tp,0 + 30c: 01000093 li ra,16 + 310: 00000013 nop + 314: 00d00113 li sp,13 + 318: 00000013 nop + 31c: 0020bf33 sltu t5,ra,sp + 320: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 324: 00200293 li t0,2 + 328: fe5212e3 bne tp,t0,30c + 32c: 00000e93 li t4,0 + 330: 01b00193 li gp,27 + 334: 19df1c63 bne t5,t4,4cc -0000033c : - 33c: 00000213 li tp,0 - 340: 00900093 li ra,9 +00000338 : + 338: 00000213 li tp,0 + 33c: 00900093 li ra,9 + 340: 00000013 nop 344: 00000013 nop - 348: 00000013 nop - 34c: 00d00113 li sp,13 - 350: 0020bf33 sltu t5,ra,sp - 354: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 358: 00200293 li t0,2 - 35c: fe5212e3 bne tp,t0,340 - 360: 00100e93 li t4,1 - 364: 01c00193 li gp,28 - 368: 17df1463 bne t5,t4,4d0 + 348: 00d00113 li sp,13 + 34c: 0020bf33 sltu t5,ra,sp + 350: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 354: 00200293 li t0,2 + 358: fe5212e3 bne tp,t0,33c + 35c: 00100e93 li t4,1 + 360: 01c00193 li gp,28 + 364: 17df1463 bne t5,t4,4cc -0000036c : - 36c: 00000213 li tp,0 - 370: 00d00113 li sp,13 - 374: 01100093 li ra,17 - 378: 0020bf33 sltu t5,ra,sp - 37c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 380: 00200293 li t0,2 - 384: fe5216e3 bne tp,t0,370 - 388: 00000e93 li t4,0 - 38c: 01d00193 li gp,29 - 390: 15df1063 bne t5,t4,4d0 +00000368 : + 368: 00000213 li tp,0 + 36c: 00d00113 li sp,13 + 370: 01100093 li ra,17 + 374: 0020bf33 sltu t5,ra,sp + 378: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 37c: 00200293 li t0,2 + 380: fe5216e3 bne tp,t0,36c + 384: 00000e93 li t4,0 + 388: 01d00193 li gp,29 + 38c: 15df1063 bne t5,t4,4cc -00000394 : - 394: 00000213 li tp,0 - 398: 00d00113 li sp,13 - 39c: 00800093 li ra,8 - 3a0: 00000013 nop - 3a4: 0020bf33 sltu t5,ra,sp - 3a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3ac: 00200293 li t0,2 - 3b0: fe5214e3 bne tp,t0,398 - 3b4: 00100e93 li t4,1 - 3b8: 01e00193 li gp,30 - 3bc: 11df1a63 bne t5,t4,4d0 +00000390 : + 390: 00000213 li tp,0 + 394: 00d00113 li sp,13 + 398: 00800093 li ra,8 + 39c: 00000013 nop + 3a0: 0020bf33 sltu t5,ra,sp + 3a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3a8: 00200293 li t0,2 + 3ac: fe5214e3 bne tp,t0,394 + 3b0: 00100e93 li t4,1 + 3b4: 01e00193 li gp,30 + 3b8: 11df1a63 bne t5,t4,4cc -000003c0 : - 3c0: 00000213 li tp,0 - 3c4: 00d00113 li sp,13 - 3c8: 01200093 li ra,18 +000003bc : + 3bc: 00000213 li tp,0 + 3c0: 00d00113 li sp,13 + 3c4: 01200093 li ra,18 + 3c8: 00000013 nop 3cc: 00000013 nop - 3d0: 00000013 nop - 3d4: 0020bf33 sltu t5,ra,sp - 3d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3dc: 00200293 li t0,2 - 3e0: fe5212e3 bne tp,t0,3c4 - 3e4: 00000e93 li t4,0 - 3e8: 01f00193 li gp,31 - 3ec: 0fdf1263 bne t5,t4,4d0 + 3d0: 0020bf33 sltu t5,ra,sp + 3d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3d8: 00200293 li t0,2 + 3dc: fe5212e3 bne tp,t0,3c0 + 3e0: 00000e93 li t4,0 + 3e4: 01f00193 li gp,31 + 3e8: 0fdf1263 bne t5,t4,4cc -000003f0 : - 3f0: 00000213 li tp,0 - 3f4: 00d00113 li sp,13 - 3f8: 00000013 nop - 3fc: 00700093 li ra,7 - 400: 0020bf33 sltu t5,ra,sp - 404: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 408: 00200293 li t0,2 - 40c: fe5214e3 bne tp,t0,3f4 - 410: 00100e93 li t4,1 - 414: 02000193 li gp,32 - 418: 0bdf1c63 bne t5,t4,4d0 +000003ec : + 3ec: 00000213 li tp,0 + 3f0: 00d00113 li sp,13 + 3f4: 00000013 nop + 3f8: 00700093 li ra,7 + 3fc: 0020bf33 sltu t5,ra,sp + 400: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 404: 00200293 li t0,2 + 408: fe5214e3 bne tp,t0,3f0 + 40c: 00100e93 li t4,1 + 410: 02000193 li gp,32 + 414: 0bdf1c63 bne t5,t4,4cc -0000041c : - 41c: 00000213 li tp,0 - 420: 00d00113 li sp,13 - 424: 00000013 nop - 428: 01300093 li ra,19 - 42c: 00000013 nop - 430: 0020bf33 sltu t5,ra,sp - 434: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 438: 00200293 li t0,2 - 43c: fe5212e3 bne tp,t0,420 - 440: 00000e93 li t4,0 - 444: 02100193 li gp,33 - 448: 09df1463 bne t5,t4,4d0 +00000418 : + 418: 00000213 li tp,0 + 41c: 00d00113 li sp,13 + 420: 00000013 nop + 424: 01300093 li ra,19 + 428: 00000013 nop + 42c: 0020bf33 sltu t5,ra,sp + 430: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 434: 00200293 li t0,2 + 438: fe5212e3 bne tp,t0,41c + 43c: 00000e93 li t4,0 + 440: 02100193 li gp,33 + 444: 09df1463 bne t5,t4,4cc -0000044c : - 44c: 00000213 li tp,0 - 450: 00d00113 li sp,13 +00000448 : + 448: 00000213 li tp,0 + 44c: 00d00113 li sp,13 + 450: 00000013 nop 454: 00000013 nop - 458: 00000013 nop - 45c: 00600093 li ra,6 - 460: 0020bf33 sltu t5,ra,sp - 464: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 468: 00200293 li t0,2 - 46c: fe5212e3 bne tp,t0,450 - 470: 00100e93 li t4,1 - 474: 02200193 li gp,34 - 478: 05df1c63 bne t5,t4,4d0 + 458: 00600093 li ra,6 + 45c: 0020bf33 sltu t5,ra,sp + 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 464: 00200293 li t0,2 + 468: fe5212e3 bne tp,t0,44c + 46c: 00100e93 li t4,1 + 470: 02200193 li gp,34 + 474: 05df1c63 bne t5,t4,4cc -0000047c : - 47c: fff00093 li ra,-1 - 480: 00103133 snez sp,ra - 484: 00100e93 li t4,1 - 488: 02300193 li gp,35 - 48c: 05d11263 bne sp,t4,4d0 +00000478 : + 478: fff00093 li ra,-1 + 47c: 00103133 snez sp,ra + 480: 00100e93 li t4,1 + 484: 02300193 li gp,35 + 488: 05d11263 bne sp,t4,4cc -00000490 : - 490: fff00093 li ra,-1 - 494: 0000b133 sltu sp,ra,zero - 498: 00000e93 li t4,0 - 49c: 02400193 li gp,36 - 4a0: 03d11863 bne sp,t4,4d0 +0000048c : + 48c: fff00093 li ra,-1 + 490: 0000b133 sltu sp,ra,zero + 494: 00000e93 li t4,0 + 498: 02400193 li gp,36 + 49c: 03d11863 bne sp,t4,4cc -000004a4 : - 4a4: 000030b3 snez ra,zero - 4a8: 00000e93 li t4,0 - 4ac: 02500193 li gp,37 - 4b0: 03d09063 bne ra,t4,4d0 +000004a0 : + 4a0: 000030b3 snez ra,zero + 4a4: 00000e93 li t4,0 + 4a8: 02500193 li gp,37 + 4ac: 03d09063 bne ra,t4,4cc -000004b4 : - 4b4: 01000093 li ra,16 - 4b8: 01e00113 li sp,30 - 4bc: 0020b033 sltu zero,ra,sp - 4c0: 00000e93 li t4,0 - 4c4: 02600193 li gp,38 - 4c8: 01d01463 bne zero,t4,4d0 - 4cc: 00301863 bne zero,gp,4dc +000004b0 : + 4b0: 01000093 li ra,16 + 4b4: 01e00113 li sp,30 + 4b8: 0020b033 sltu zero,ra,sp + 4bc: 00000e93 li t4,0 + 4c0: 02600193 li gp,38 + 4c4: 01d01463 bne zero,t4,4cc + 4c8: 00301663 bne zero,gp,4d4 -000004d0 : - 4d0: 00000d93 li s11,0 - 4d4: 00100d13 li s10,1 +000004cc : + 4cc: 1000d073 csrwi sstatus,1 -000004d8 : - 4d8: 0000006f j 4d8 +000004d0 : + 4d0: 0000006f j 4d0 -000004dc : - 4dc: 00100d93 li s11,1 - 4e0: 00100d13 li s10,1 +000004d4 : + 4d4: 1001d073 csrwi sstatus,3 -000004e4 : - 4e4: 0000006f j 4e4 +000004d8 : + 4d8: 0000006f j 4d8 ... Disassembly of section .tohost: @@ -414,14 +411,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sltu.mem b/tests/isa/generated/rv32ui-p-sltu.mem index 40e5760..23844b9 100644 --- a/tests/isa/generated/rv32ui-p-sltu.mem +++ b/tests/isa/generated/rv32ui-p-sltu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 0020bf33 @@ -305,12 +304,10 @@ fff00093 00000e93 02600193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fff00093 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sltu.verilog b/tests/isa/generated/rv32ui-p-sltu.verilog index 4d62778..dfb43b2 100755 --- a/tests/isa/generated/rv32ui-p-sltu.verilog +++ b/tests/isa/generated/rv32ui-p-sltu.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 BF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 BF 20 00 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 BF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 -93 00 70 00 13 01 30 00 33 BF 20 00 93 0E 00 00 -93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 BF 20 00 93 0E 00 00 -93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 -93 00 00 00 37 81 00 00 13 01 F1 FF 33 BF 20 00 -93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 -93 80 F0 FF 13 01 00 00 33 BF 20 00 93 0E 00 00 -93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 BF 20 00 93 0E 00 00 -93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 BF 20 00 93 0E 00 00 93 01 C0 00 -63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 D0 00 63 1A DF 39 -93 00 00 00 13 01 F0 FF 33 BF 20 00 93 0E 10 00 -93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 BF 20 00 93 0E 00 00 93 01 F0 00 63 12 DF 37 -93 00 F0 FF 13 01 F0 FF 33 BF 20 00 93 0E 00 00 -93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 -B3 B0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 -93 00 B0 00 13 01 D0 00 33 B1 20 00 93 0E 10 00 -93 01 20 01 63 1E D1 31 93 00 D0 00 B3 B0 10 00 -93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 -93 00 B0 00 13 01 D0 00 33 BF 20 00 13 03 0F 00 +73 50 00 10 93 00 00 00 13 01 00 00 33 BF 20 00 +93 0E 00 00 93 01 20 00 63 1A DF 4B 93 00 10 00 +13 01 10 00 33 BF 20 00 93 0E 00 00 93 01 30 00 +63 1E DF 49 93 00 30 00 13 01 70 00 33 BF 20 00 +93 0E 10 00 93 01 40 00 63 12 DF 49 93 00 70 00 +13 01 30 00 33 BF 20 00 93 0E 00 00 93 01 50 00 +63 16 DF 47 93 00 00 00 37 81 FF FF 33 BF 20 00 +93 0E 10 00 93 01 60 00 63 1A DF 45 B7 00 00 80 +13 01 00 00 33 BF 20 00 93 0E 00 00 93 01 70 00 +63 1E DF 43 B7 00 00 80 37 81 FF FF 33 BF 20 00 +93 0E 10 00 93 01 80 00 63 12 DF 43 93 00 00 00 +37 81 00 00 13 01 F1 FF 33 BF 20 00 93 0E 10 00 +93 01 90 00 63 14 DF 41 B7 00 00 80 93 80 F0 FF +13 01 00 00 33 BF 20 00 93 0E 00 00 93 01 A0 00 +63 16 DF 3F B7 00 00 80 93 80 F0 FF 37 81 00 00 +13 01 F1 FF 33 BF 20 00 93 0E 00 00 93 01 B0 00 +63 16 DF 3D B7 00 00 80 37 81 00 00 13 01 F1 FF +33 BF 20 00 93 0E 00 00 93 01 C0 00 63 18 DF 3B +B7 00 00 80 93 80 F0 FF 37 81 FF FF 33 BF 20 00 +93 0E 10 00 93 01 D0 00 63 1A DF 39 93 00 00 00 +13 01 F0 FF 33 BF 20 00 93 0E 10 00 93 01 E0 00 +63 1E DF 37 93 00 F0 FF 13 01 10 00 33 BF 20 00 +93 0E 00 00 93 01 F0 00 63 12 DF 37 93 00 F0 FF +13 01 F0 FF 33 BF 20 00 93 0E 00 00 93 01 00 01 +63 16 DF 35 93 00 E0 00 13 01 D0 00 B3 B0 20 00 +93 0E 00 00 93 01 10 01 63 9A D0 33 93 00 B0 00 +13 01 D0 00 33 B1 20 00 93 0E 10 00 93 01 20 01 +63 1E D1 31 93 00 D0 00 B3 B0 10 00 93 0E 00 00 +93 01 30 01 63 94 D0 31 13 02 00 00 93 00 B0 00 +13 01 D0 00 33 BF 20 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 10 00 93 01 40 01 +63 1E D3 2D 13 02 00 00 93 00 E0 00 13 01 D0 00 +33 BF 20 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 00 00 93 01 50 01 +63 16 D3 2B 13 02 00 00 93 00 C0 00 13 01 D0 00 +33 BF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 0E 10 00 +93 01 60 01 63 1C D3 27 13 02 00 00 93 00 E0 00 +13 01 D0 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 00 93 01 70 01 63 18 DF 25 +13 02 00 00 93 00 B0 00 13 01 D0 00 13 00 00 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 80 01 63 12 DF 23 13 02 00 00 +93 00 F0 00 13 01 D0 00 13 00 00 00 13 00 00 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 90 01 63 1A DF 1F 13 02 00 00 +93 00 A0 00 13 00 00 00 13 01 D0 00 33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 D0 00 33 BF 20 00 13 00 00 00 13 03 0F 00 +93 01 A0 01 63 14 DF 1D 13 02 00 00 93 00 00 01 +13 00 00 00 13 01 D0 00 13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 -13 01 D0 00 33 BF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 -93 00 E0 00 13 01 D0 00 33 BF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 -63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F -13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 +93 01 B0 01 63 1C DF 19 13 02 00 00 93 00 90 00 +13 00 00 00 13 00 00 00 13 01 D0 00 33 BF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 C0 01 63 14 DF 17 13 02 00 00 13 01 D0 00 +93 00 10 01 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 00 93 01 D0 01 63 10 DF 15 +13 02 00 00 13 01 D0 00 93 00 80 00 13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 -93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 +93 0E 10 00 93 01 E0 01 63 1A DF 11 13 02 00 00 +13 01 D0 00 93 00 20 01 13 00 00 00 13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 -93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 -13 01 D0 00 93 00 10 01 33 BF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 -63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 -13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F -13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 -13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 -13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF -33 31 10 00 93 0E 10 00 93 01 30 02 63 12 D1 05 -93 00 F0 FF 33 B1 00 00 93 0E 00 00 93 01 40 02 -63 18 D1 03 B3 30 00 00 93 0E 00 00 93 01 50 02 -63 90 D0 03 93 00 00 01 13 01 E0 01 33 B0 20 00 -93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +93 0E 00 00 93 01 F0 01 63 12 DF 0F 13 02 00 00 +13 01 D0 00 13 00 00 00 93 00 70 00 33 BF 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 00 02 63 1C DF 0B 13 02 00 00 13 01 D0 00 +13 00 00 00 93 00 30 01 13 00 00 00 33 BF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 10 02 63 14 DF 09 13 02 00 00 13 01 D0 00 +13 00 00 00 13 00 00 00 93 00 60 00 33 BF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 20 02 63 1C DF 05 93 00 F0 FF 33 31 10 00 +93 0E 10 00 93 01 30 02 63 12 D1 05 93 00 F0 FF +33 B1 00 00 93 0E 00 00 93 01 40 02 63 18 D1 03 +B3 30 00 00 93 0E 00 00 93 01 50 02 63 90 D0 03 +93 00 00 01 13 01 E0 01 33 B0 20 00 93 0E 00 00 +93 01 60 02 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32ui-p-sra b/tests/isa/generated/rv32ui-p-sra index b3fcb03f55e1909072a54631d8c60df736ca6954..1d94ed60d9e8386edfb0f785cd455fe02551d848 100755 GIT binary patch delta 866 zcmYk)KS%;m90%~_}DGF=|GLuj!D3EG!2$CR>NGvLl6b*+EbZ7}0ificK z(BRPE5;Zh9G&nXiG&l$~G&D3cI5-sa^(y@ShWEao-@SM5j=Rr5EpRlTsyBl#U6%Bs zXty@)%&OTvl712`A%aqb?(F_vb9Q z@>@z)l*pB}>BcVopQ5A}*+(8k4v|NY*U4kZdGaLk6?s}DClAyJBEOQ&o8zH=OGy|( zCr98Za^vs_ISyyZyYMABi}U548v9sKAs@nZ@(Ju{!C;n>OU!<90S=RkaGZPx?~_Y7 zUrwn}hRftfxJG`4M_MtMrKE~^k^BZ{$scf$T!Sm*+!q=)3kI{4Sn#%fawoh-?tu@< zI$RyGkXVF*K|!NKgndDTl2AgFs5l8a+|ZDuyb%Nq z4UP^)1q}@i4GoPA4Mqfw4Gj(r4n3WO&mZo6pWkx__rW#8-{Fd1y6Vd-qQQ|`mkw=l2$P>uB_<~%({pFqt8y7UlM{tXL0$W{JoKbR)JwmR*>*P9|Cf~q^#2UThJFDe+)pI{ diff --git a/tests/isa/generated/rv32ui-p-sra.bin b/tests/isa/generated/rv32ui-p-sra.bin index b03e67e7a449f7ef883830a14e5bd5b30d4996e8..0599048085cb7ba5445a6a0cad2bb84daf65e5cc 100755 GIT binary patch delta 38 scmX@XbApGZIDkQ5Bgafu0WkxH;tLD{`3wv|no%Hs@: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 800000b7 lui ra,0x80000 - c: 00000113 li sp,0 - 10: 4020df33 sra t5,ra,sp - 14: 80000eb7 lui t4,0x80000 - 18: 00200193 li gp,2 - 1c: 59df1463 bne t5,t4,5a4 +00000004 : + 4: 800000b7 lui ra,0x80000 + 8: 00000113 li sp,0 + c: 4020df33 sra t5,ra,sp + 10: 80000eb7 lui t4,0x80000 + 14: 00200193 li gp,2 + 18: 59df1463 bne t5,t4,5a0 -00000020 : - 20: 800000b7 lui ra,0x80000 - 24: 00100113 li sp,1 - 28: 4020df33 sra t5,ra,sp - 2c: c0000eb7 lui t4,0xc0000 - 30: 00300193 li gp,3 - 34: 57df1863 bne t5,t4,5a4 +0000001c : + 1c: 800000b7 lui ra,0x80000 + 20: 00100113 li sp,1 + 24: 4020df33 sra t5,ra,sp + 28: c0000eb7 lui t4,0xc0000 + 2c: 00300193 li gp,3 + 30: 57df1863 bne t5,t4,5a0 -00000038 : - 38: 800000b7 lui ra,0x80000 - 3c: 00700113 li sp,7 - 40: 4020df33 sra t5,ra,sp - 44: ff000eb7 lui t4,0xff000 - 48: 00400193 li gp,4 - 4c: 55df1c63 bne t5,t4,5a4 +00000034 : + 34: 800000b7 lui ra,0x80000 + 38: 00700113 li sp,7 + 3c: 4020df33 sra t5,ra,sp + 40: ff000eb7 lui t4,0xff000 + 44: 00400193 li gp,4 + 48: 55df1c63 bne t5,t4,5a0 -00000050 : - 50: 800000b7 lui ra,0x80000 - 54: 00e00113 li sp,14 - 58: 4020df33 sra t5,ra,sp - 5c: fffe0eb7 lui t4,0xfffe0 - 60: 00500193 li gp,5 - 64: 55df1063 bne t5,t4,5a4 +0000004c : + 4c: 800000b7 lui ra,0x80000 + 50: 00e00113 li sp,14 + 54: 4020df33 sra t5,ra,sp + 58: fffe0eb7 lui t4,0xfffe0 + 5c: 00500193 li gp,5 + 60: 55df1063 bne t5,t4,5a0 -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00108093 addi ra,ra,1 # 80000001 - 70: 01f00113 li sp,31 - 74: 4020df33 sra t5,ra,sp - 78: fff00e93 li t4,-1 - 7c: 00600193 li gp,6 - 80: 53df1263 bne t5,t4,5a4 +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00108093 addi ra,ra,1 # 80000001 + 6c: 01f00113 li sp,31 + 70: 4020df33 sra t5,ra,sp + 74: fff00e93 li t4,-1 + 78: 00600193 li gp,6 + 7c: 53df1263 bne t5,t4,5a0 -00000084 : - 84: 800000b7 lui ra,0x80000 - 88: fff08093 addi ra,ra,-1 # 7fffffff - 8c: 00000113 li sp,0 - 90: 4020df33 sra t5,ra,sp - 94: 80000eb7 lui t4,0x80000 - 98: fffe8e93 addi t4,t4,-1 # 7fffffff - 9c: 00700193 li gp,7 - a0: 51df1263 bne t5,t4,5a4 +00000080 : + 80: 800000b7 lui ra,0x80000 + 84: fff08093 addi ra,ra,-1 # 7fffffff + 88: 00000113 li sp,0 + 8c: 4020df33 sra t5,ra,sp + 90: 80000eb7 lui t4,0x80000 + 94: fffe8e93 addi t4,t4,-1 # 7fffffff + 98: 00700193 li gp,7 + 9c: 51df1263 bne t5,t4,5a0 -000000a4 : - a4: 800000b7 lui ra,0x80000 - a8: fff08093 addi ra,ra,-1 # 7fffffff - ac: 00100113 li sp,1 - b0: 4020df33 sra t5,ra,sp - b4: 40000eb7 lui t4,0x40000 - b8: fffe8e93 addi t4,t4,-1 # 3fffffff - bc: 00800193 li gp,8 - c0: 4fdf1263 bne t5,t4,5a4 +000000a0 : + a0: 800000b7 lui ra,0x80000 + a4: fff08093 addi ra,ra,-1 # 7fffffff + a8: 00100113 li sp,1 + ac: 4020df33 sra t5,ra,sp + b0: 40000eb7 lui t4,0x40000 + b4: fffe8e93 addi t4,t4,-1 # 3fffffff + b8: 00800193 li gp,8 + bc: 4fdf1263 bne t5,t4,5a0 -000000c4 : - c4: 800000b7 lui ra,0x80000 - c8: fff08093 addi ra,ra,-1 # 7fffffff - cc: 00700113 li sp,7 - d0: 4020df33 sra t5,ra,sp - d4: 01000eb7 lui t4,0x1000 - d8: fffe8e93 addi t4,t4,-1 # ffffff - dc: 00900193 li gp,9 - e0: 4ddf1263 bne t5,t4,5a4 +000000c0 : + c0: 800000b7 lui ra,0x80000 + c4: fff08093 addi ra,ra,-1 # 7fffffff + c8: 00700113 li sp,7 + cc: 4020df33 sra t5,ra,sp + d0: 01000eb7 lui t4,0x1000 + d4: fffe8e93 addi t4,t4,-1 # ffffff + d8: 00900193 li gp,9 + dc: 4ddf1263 bne t5,t4,5a0 -000000e4 : - e4: 800000b7 lui ra,0x80000 - e8: fff08093 addi ra,ra,-1 # 7fffffff - ec: 00e00113 li sp,14 - f0: 4020df33 sra t5,ra,sp - f4: 00020eb7 lui t4,0x20 - f8: fffe8e93 addi t4,t4,-1 # 1ffff - fc: 00a00193 li gp,10 - 100: 4bdf1263 bne t5,t4,5a4 +000000e0 : + e0: 800000b7 lui ra,0x80000 + e4: fff08093 addi ra,ra,-1 # 7fffffff + e8: 00e00113 li sp,14 + ec: 4020df33 sra t5,ra,sp + f0: 00020eb7 lui t4,0x20 + f4: fffe8e93 addi t4,t4,-1 # 1ffff + f8: 00a00193 li gp,10 + fc: 4bdf1263 bne t5,t4,5a0 -00000104 : - 104: 800000b7 lui ra,0x80000 - 108: fff08093 addi ra,ra,-1 # 7fffffff - 10c: 01f00113 li sp,31 - 110: 4020df33 sra t5,ra,sp - 114: 00000e93 li t4,0 - 118: 00b00193 li gp,11 - 11c: 49df1463 bne t5,t4,5a4 +00000100 : + 100: 800000b7 lui ra,0x80000 + 104: fff08093 addi ra,ra,-1 # 7fffffff + 108: 01f00113 li sp,31 + 10c: 4020df33 sra t5,ra,sp + 110: 00000e93 li t4,0 + 114: 00b00193 li gp,11 + 118: 49df1463 bne t5,t4,5a0 -00000120 : - 120: 818180b7 lui ra,0x81818 - 124: 18108093 addi ra,ra,385 # 81818181 - 128: 00000113 li sp,0 - 12c: 4020df33 sra t5,ra,sp - 130: 81818eb7 lui t4,0x81818 - 134: 181e8e93 addi t4,t4,385 # 81818181 - 138: 00c00193 li gp,12 - 13c: 47df1463 bne t5,t4,5a4 +0000011c : + 11c: 818180b7 lui ra,0x81818 + 120: 18108093 addi ra,ra,385 # 81818181 + 124: 00000113 li sp,0 + 128: 4020df33 sra t5,ra,sp + 12c: 81818eb7 lui t4,0x81818 + 130: 181e8e93 addi t4,t4,385 # 81818181 + 134: 00c00193 li gp,12 + 138: 47df1463 bne t5,t4,5a0 -00000140 : - 140: 818180b7 lui ra,0x81818 - 144: 18108093 addi ra,ra,385 # 81818181 - 148: 00100113 li sp,1 - 14c: 4020df33 sra t5,ra,sp - 150: c0c0ceb7 lui t4,0xc0c0c - 154: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 - 158: 00d00193 li gp,13 - 15c: 45df1463 bne t5,t4,5a4 +0000013c : + 13c: 818180b7 lui ra,0x81818 + 140: 18108093 addi ra,ra,385 # 81818181 + 144: 00100113 li sp,1 + 148: 4020df33 sra t5,ra,sp + 14c: c0c0ceb7 lui t4,0xc0c0c + 150: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 + 154: 00d00193 li gp,13 + 158: 45df1463 bne t5,t4,5a0 -00000160 : - 160: 818180b7 lui ra,0x81818 - 164: 18108093 addi ra,ra,385 # 81818181 - 168: 00700113 li sp,7 - 16c: 4020df33 sra t5,ra,sp - 170: ff030eb7 lui t4,0xff030 - 174: 303e8e93 addi t4,t4,771 # ff030303 - 178: 00e00193 li gp,14 - 17c: 43df1463 bne t5,t4,5a4 +0000015c : + 15c: 818180b7 lui ra,0x81818 + 160: 18108093 addi ra,ra,385 # 81818181 + 164: 00700113 li sp,7 + 168: 4020df33 sra t5,ra,sp + 16c: ff030eb7 lui t4,0xff030 + 170: 303e8e93 addi t4,t4,771 # ff030303 + 174: 00e00193 li gp,14 + 178: 43df1463 bne t5,t4,5a0 -00000180 : - 180: 818180b7 lui ra,0x81818 - 184: 18108093 addi ra,ra,385 # 81818181 - 188: 00e00113 li sp,14 - 18c: 4020df33 sra t5,ra,sp - 190: fffe0eb7 lui t4,0xfffe0 - 194: 606e8e93 addi t4,t4,1542 # fffe0606 - 198: 00f00193 li gp,15 - 19c: 41df1463 bne t5,t4,5a4 +0000017c : + 17c: 818180b7 lui ra,0x81818 + 180: 18108093 addi ra,ra,385 # 81818181 + 184: 00e00113 li sp,14 + 188: 4020df33 sra t5,ra,sp + 18c: fffe0eb7 lui t4,0xfffe0 + 190: 606e8e93 addi t4,t4,1542 # fffe0606 + 194: 00f00193 li gp,15 + 198: 41df1463 bne t5,t4,5a0 -000001a0 : - 1a0: 818180b7 lui ra,0x81818 - 1a4: 18108093 addi ra,ra,385 # 81818181 - 1a8: 01f00113 li sp,31 - 1ac: 4020df33 sra t5,ra,sp - 1b0: fff00e93 li t4,-1 - 1b4: 01000193 li gp,16 - 1b8: 3fdf1663 bne t5,t4,5a4 +0000019c : + 19c: 818180b7 lui ra,0x81818 + 1a0: 18108093 addi ra,ra,385 # 81818181 + 1a4: 01f00113 li sp,31 + 1a8: 4020df33 sra t5,ra,sp + 1ac: fff00e93 li t4,-1 + 1b0: 01000193 li gp,16 + 1b4: 3fdf1663 bne t5,t4,5a0 -000001bc : - 1bc: 818180b7 lui ra,0x81818 - 1c0: 18108093 addi ra,ra,385 # 81818181 - 1c4: fc000113 li sp,-64 - 1c8: 4020df33 sra t5,ra,sp - 1cc: 81818eb7 lui t4,0x81818 - 1d0: 181e8e93 addi t4,t4,385 # 81818181 - 1d4: 01100193 li gp,17 - 1d8: 3ddf1663 bne t5,t4,5a4 +000001b8 : + 1b8: 818180b7 lui ra,0x81818 + 1bc: 18108093 addi ra,ra,385 # 81818181 + 1c0: fc000113 li sp,-64 + 1c4: 4020df33 sra t5,ra,sp + 1c8: 81818eb7 lui t4,0x81818 + 1cc: 181e8e93 addi t4,t4,385 # 81818181 + 1d0: 01100193 li gp,17 + 1d4: 3ddf1663 bne t5,t4,5a0 -000001dc : - 1dc: 818180b7 lui ra,0x81818 - 1e0: 18108093 addi ra,ra,385 # 81818181 - 1e4: fc100113 li sp,-63 - 1e8: 4020df33 sra t5,ra,sp - 1ec: c0c0ceb7 lui t4,0xc0c0c - 1f0: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 - 1f4: 01200193 li gp,18 - 1f8: 3bdf1663 bne t5,t4,5a4 +000001d8 : + 1d8: 818180b7 lui ra,0x81818 + 1dc: 18108093 addi ra,ra,385 # 81818181 + 1e0: fc100113 li sp,-63 + 1e4: 4020df33 sra t5,ra,sp + 1e8: c0c0ceb7 lui t4,0xc0c0c + 1ec: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 + 1f0: 01200193 li gp,18 + 1f4: 3bdf1663 bne t5,t4,5a0 -000001fc : - 1fc: 818180b7 lui ra,0x81818 - 200: 18108093 addi ra,ra,385 # 81818181 - 204: fc700113 li sp,-57 - 208: 4020df33 sra t5,ra,sp - 20c: ff030eb7 lui t4,0xff030 - 210: 303e8e93 addi t4,t4,771 # ff030303 - 214: 01300193 li gp,19 - 218: 39df1663 bne t5,t4,5a4 +000001f8 : + 1f8: 818180b7 lui ra,0x81818 + 1fc: 18108093 addi ra,ra,385 # 81818181 + 200: fc700113 li sp,-57 + 204: 4020df33 sra t5,ra,sp + 208: ff030eb7 lui t4,0xff030 + 20c: 303e8e93 addi t4,t4,771 # ff030303 + 210: 01300193 li gp,19 + 214: 39df1663 bne t5,t4,5a0 -0000021c : - 21c: 818180b7 lui ra,0x81818 - 220: 18108093 addi ra,ra,385 # 81818181 - 224: fce00113 li sp,-50 - 228: 4020df33 sra t5,ra,sp - 22c: fffe0eb7 lui t4,0xfffe0 - 230: 606e8e93 addi t4,t4,1542 # fffe0606 - 234: 01400193 li gp,20 - 238: 37df1663 bne t5,t4,5a4 +00000218 : + 218: 818180b7 lui ra,0x81818 + 21c: 18108093 addi ra,ra,385 # 81818181 + 220: fce00113 li sp,-50 + 224: 4020df33 sra t5,ra,sp + 228: fffe0eb7 lui t4,0xfffe0 + 22c: 606e8e93 addi t4,t4,1542 # fffe0606 + 230: 01400193 li gp,20 + 234: 37df1663 bne t5,t4,5a0 -0000023c : - 23c: 818180b7 lui ra,0x81818 - 240: 18108093 addi ra,ra,385 # 81818181 - 244: fff00113 li sp,-1 - 248: 4020df33 sra t5,ra,sp - 24c: fff00e93 li t4,-1 - 250: 01500193 li gp,21 - 254: 35df1863 bne t5,t4,5a4 +00000238 : + 238: 818180b7 lui ra,0x81818 + 23c: 18108093 addi ra,ra,385 # 81818181 + 240: fff00113 li sp,-1 + 244: 4020df33 sra t5,ra,sp + 248: fff00e93 li t4,-1 + 24c: 01500193 li gp,21 + 250: 35df1863 bne t5,t4,5a0 -00000258 : - 258: 800000b7 lui ra,0x80000 - 25c: 00700113 li sp,7 - 260: 4020d0b3 sra ra,ra,sp - 264: ff000eb7 lui t4,0xff000 - 268: 01600193 li gp,22 - 26c: 33d09c63 bne ra,t4,5a4 +00000254 : + 254: 800000b7 lui ra,0x80000 + 258: 00700113 li sp,7 + 25c: 4020d0b3 sra ra,ra,sp + 260: ff000eb7 lui t4,0xff000 + 264: 01600193 li gp,22 + 268: 33d09c63 bne ra,t4,5a0 -00000270 : - 270: 800000b7 lui ra,0x80000 - 274: 00e00113 li sp,14 - 278: 4020d133 sra sp,ra,sp - 27c: fffe0eb7 lui t4,0xfffe0 - 280: 01700193 li gp,23 - 284: 33d11063 bne sp,t4,5a4 +0000026c : + 26c: 800000b7 lui ra,0x80000 + 270: 00e00113 li sp,14 + 274: 4020d133 sra sp,ra,sp + 278: fffe0eb7 lui t4,0xfffe0 + 27c: 01700193 li gp,23 + 280: 33d11063 bne sp,t4,5a0 -00000288 : - 288: 00700093 li ra,7 - 28c: 4010d0b3 sra ra,ra,ra - 290: 00000e93 li t4,0 - 294: 01800193 li gp,24 - 298: 31d09663 bne ra,t4,5a4 +00000284 : + 284: 00700093 li ra,7 + 288: 4010d0b3 sra ra,ra,ra + 28c: 00000e93 li t4,0 + 290: 01800193 li gp,24 + 294: 31d09663 bne ra,t4,5a0 -0000029c : - 29c: 00000213 li tp,0 - 2a0: 800000b7 lui ra,0x80000 - 2a4: 00700113 li sp,7 - 2a8: 4020df33 sra t5,ra,sp - 2ac: 000f0313 mv t1,t5 - 2b0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2b4: 00200293 li t0,2 - 2b8: fe5214e3 bne tp,t0,2a0 - 2bc: ff000eb7 lui t4,0xff000 - 2c0: 01900193 li gp,25 - 2c4: 2fd31063 bne t1,t4,5a4 +00000298 : + 298: 00000213 li tp,0 + 29c: 800000b7 lui ra,0x80000 + 2a0: 00700113 li sp,7 + 2a4: 4020df33 sra t5,ra,sp + 2a8: 000f0313 mv t1,t5 + 2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2b0: 00200293 li t0,2 + 2b4: fe5214e3 bne tp,t0,29c + 2b8: ff000eb7 lui t4,0xff000 + 2bc: 01900193 li gp,25 + 2c0: 2fd31063 bne t1,t4,5a0 -000002c8 : - 2c8: 00000213 li tp,0 - 2cc: 800000b7 lui ra,0x80000 - 2d0: 00e00113 li sp,14 - 2d4: 4020df33 sra t5,ra,sp - 2d8: 00000013 nop - 2dc: 000f0313 mv t1,t5 - 2e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2e4: 00200293 li t0,2 - 2e8: fe5212e3 bne tp,t0,2cc - 2ec: fffe0eb7 lui t4,0xfffe0 - 2f0: 01a00193 li gp,26 - 2f4: 2bd31863 bne t1,t4,5a4 +000002c4 : + 2c4: 00000213 li tp,0 + 2c8: 800000b7 lui ra,0x80000 + 2cc: 00e00113 li sp,14 + 2d0: 4020df33 sra t5,ra,sp + 2d4: 00000013 nop + 2d8: 000f0313 mv t1,t5 + 2dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2e0: 00200293 li t0,2 + 2e4: fe5212e3 bne tp,t0,2c8 + 2e8: fffe0eb7 lui t4,0xfffe0 + 2ec: 01a00193 li gp,26 + 2f0: 2bd31863 bne t1,t4,5a0 -000002f8 : - 2f8: 00000213 li tp,0 - 2fc: 800000b7 lui ra,0x80000 - 300: 01f00113 li sp,31 - 304: 4020df33 sra t5,ra,sp +000002f4 : + 2f4: 00000213 li tp,0 + 2f8: 800000b7 lui ra,0x80000 + 2fc: 01f00113 li sp,31 + 300: 4020df33 sra t5,ra,sp + 304: 00000013 nop 308: 00000013 nop - 30c: 00000013 nop - 310: 000f0313 mv t1,t5 - 314: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 318: 00200293 li t0,2 - 31c: fe5210e3 bne tp,t0,2fc - 320: fff00e93 li t4,-1 - 324: 01b00193 li gp,27 - 328: 27d31e63 bne t1,t4,5a4 + 30c: 000f0313 mv t1,t5 + 310: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 314: 00200293 li t0,2 + 318: fe5210e3 bne tp,t0,2f8 + 31c: fff00e93 li t4,-1 + 320: 01b00193 li gp,27 + 324: 27d31e63 bne t1,t4,5a0 -0000032c : - 32c: 00000213 li tp,0 - 330: 800000b7 lui ra,0x80000 - 334: 00700113 li sp,7 - 338: 4020df33 sra t5,ra,sp - 33c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 340: 00200293 li t0,2 - 344: fe5216e3 bne tp,t0,330 - 348: ff000eb7 lui t4,0xff000 - 34c: 01c00193 li gp,28 - 350: 25df1a63 bne t5,t4,5a4 +00000328 : + 328: 00000213 li tp,0 + 32c: 800000b7 lui ra,0x80000 + 330: 00700113 li sp,7 + 334: 4020df33 sra t5,ra,sp + 338: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 33c: 00200293 li t0,2 + 340: fe5216e3 bne tp,t0,32c + 344: ff000eb7 lui t4,0xff000 + 348: 01c00193 li gp,28 + 34c: 25df1a63 bne t5,t4,5a0 -00000354 : - 354: 00000213 li tp,0 - 358: 800000b7 lui ra,0x80000 - 35c: 00e00113 li sp,14 - 360: 00000013 nop - 364: 4020df33 sra t5,ra,sp - 368: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 36c: 00200293 li t0,2 - 370: fe5214e3 bne tp,t0,358 - 374: fffe0eb7 lui t4,0xfffe0 - 378: 01d00193 li gp,29 - 37c: 23df1463 bne t5,t4,5a4 +00000350 : + 350: 00000213 li tp,0 + 354: 800000b7 lui ra,0x80000 + 358: 00e00113 li sp,14 + 35c: 00000013 nop + 360: 4020df33 sra t5,ra,sp + 364: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 368: 00200293 li t0,2 + 36c: fe5214e3 bne tp,t0,354 + 370: fffe0eb7 lui t4,0xfffe0 + 374: 01d00193 li gp,29 + 378: 23df1463 bne t5,t4,5a0 -00000380 : - 380: 00000213 li tp,0 - 384: 800000b7 lui ra,0x80000 - 388: 01f00113 li sp,31 +0000037c : + 37c: 00000213 li tp,0 + 380: 800000b7 lui ra,0x80000 + 384: 01f00113 li sp,31 + 388: 00000013 nop 38c: 00000013 nop - 390: 00000013 nop - 394: 4020df33 sra t5,ra,sp - 398: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 39c: 00200293 li t0,2 - 3a0: fe5212e3 bne tp,t0,384 - 3a4: fff00e93 li t4,-1 - 3a8: 01e00193 li gp,30 - 3ac: 1fdf1c63 bne t5,t4,5a4 + 390: 4020df33 sra t5,ra,sp + 394: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 398: 00200293 li t0,2 + 39c: fe5212e3 bne tp,t0,380 + 3a0: fff00e93 li t4,-1 + 3a4: 01e00193 li gp,30 + 3a8: 1fdf1c63 bne t5,t4,5a0 -000003b0 : - 3b0: 00000213 li tp,0 - 3b4: 800000b7 lui ra,0x80000 - 3b8: 00000013 nop - 3bc: 00700113 li sp,7 - 3c0: 4020df33 sra t5,ra,sp - 3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3c8: 00200293 li t0,2 - 3cc: fe5214e3 bne tp,t0,3b4 - 3d0: ff000eb7 lui t4,0xff000 - 3d4: 01f00193 li gp,31 - 3d8: 1ddf1663 bne t5,t4,5a4 +000003ac : + 3ac: 00000213 li tp,0 + 3b0: 800000b7 lui ra,0x80000 + 3b4: 00000013 nop + 3b8: 00700113 li sp,7 + 3bc: 4020df33 sra t5,ra,sp + 3c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c4: 00200293 li t0,2 + 3c8: fe5214e3 bne tp,t0,3b0 + 3cc: ff000eb7 lui t4,0xff000 + 3d0: 01f00193 li gp,31 + 3d4: 1ddf1663 bne t5,t4,5a0 -000003dc : - 3dc: 00000213 li tp,0 - 3e0: 800000b7 lui ra,0x80000 - 3e4: 00000013 nop - 3e8: 00e00113 li sp,14 - 3ec: 00000013 nop - 3f0: 4020df33 sra t5,ra,sp - 3f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3f8: 00200293 li t0,2 - 3fc: fe5212e3 bne tp,t0,3e0 - 400: fffe0eb7 lui t4,0xfffe0 - 404: 02000193 li gp,32 - 408: 19df1e63 bne t5,t4,5a4 +000003d8 : + 3d8: 00000213 li tp,0 + 3dc: 800000b7 lui ra,0x80000 + 3e0: 00000013 nop + 3e4: 00e00113 li sp,14 + 3e8: 00000013 nop + 3ec: 4020df33 sra t5,ra,sp + 3f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3f4: 00200293 li t0,2 + 3f8: fe5212e3 bne tp,t0,3dc + 3fc: fffe0eb7 lui t4,0xfffe0 + 400: 02000193 li gp,32 + 404: 19df1e63 bne t5,t4,5a0 -0000040c : - 40c: 00000213 li tp,0 - 410: 800000b7 lui ra,0x80000 +00000408 : + 408: 00000213 li tp,0 + 40c: 800000b7 lui ra,0x80000 + 410: 00000013 nop 414: 00000013 nop - 418: 00000013 nop - 41c: 01f00113 li sp,31 - 420: 4020df33 sra t5,ra,sp - 424: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 428: 00200293 li t0,2 - 42c: fe5212e3 bne tp,t0,410 - 430: fff00e93 li t4,-1 - 434: 02100193 li gp,33 - 438: 17df1663 bne t5,t4,5a4 + 418: 01f00113 li sp,31 + 41c: 4020df33 sra t5,ra,sp + 420: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 424: 00200293 li t0,2 + 428: fe5212e3 bne tp,t0,40c + 42c: fff00e93 li t4,-1 + 430: 02100193 li gp,33 + 434: 17df1663 bne t5,t4,5a0 -0000043c : - 43c: 00000213 li tp,0 - 440: 00700113 li sp,7 - 444: 800000b7 lui ra,0x80000 - 448: 4020df33 sra t5,ra,sp - 44c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 450: 00200293 li t0,2 - 454: fe5216e3 bne tp,t0,440 - 458: ff000eb7 lui t4,0xff000 - 45c: 02200193 li gp,34 - 460: 15df1263 bne t5,t4,5a4 +00000438 : + 438: 00000213 li tp,0 + 43c: 00700113 li sp,7 + 440: 800000b7 lui ra,0x80000 + 444: 4020df33 sra t5,ra,sp + 448: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 44c: 00200293 li t0,2 + 450: fe5216e3 bne tp,t0,43c + 454: ff000eb7 lui t4,0xff000 + 458: 02200193 li gp,34 + 45c: 15df1263 bne t5,t4,5a0 -00000464 : - 464: 00000213 li tp,0 - 468: 00e00113 li sp,14 - 46c: 800000b7 lui ra,0x80000 - 470: 00000013 nop - 474: 4020df33 sra t5,ra,sp - 478: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 47c: 00200293 li t0,2 - 480: fe5214e3 bne tp,t0,468 - 484: fffe0eb7 lui t4,0xfffe0 - 488: 02300193 li gp,35 - 48c: 11df1c63 bne t5,t4,5a4 +00000460 : + 460: 00000213 li tp,0 + 464: 00e00113 li sp,14 + 468: 800000b7 lui ra,0x80000 + 46c: 00000013 nop + 470: 4020df33 sra t5,ra,sp + 474: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 478: 00200293 li t0,2 + 47c: fe5214e3 bne tp,t0,464 + 480: fffe0eb7 lui t4,0xfffe0 + 484: 02300193 li gp,35 + 488: 11df1c63 bne t5,t4,5a0 -00000490 : - 490: 00000213 li tp,0 - 494: 01f00113 li sp,31 - 498: 800000b7 lui ra,0x80000 +0000048c : + 48c: 00000213 li tp,0 + 490: 01f00113 li sp,31 + 494: 800000b7 lui ra,0x80000 + 498: 00000013 nop 49c: 00000013 nop - 4a0: 00000013 nop - 4a4: 4020df33 sra t5,ra,sp - 4a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 4ac: 00200293 li t0,2 - 4b0: fe5212e3 bne tp,t0,494 - 4b4: fff00e93 li t4,-1 - 4b8: 02400193 li gp,36 - 4bc: 0fdf1463 bne t5,t4,5a4 + 4a0: 4020df33 sra t5,ra,sp + 4a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 4a8: 00200293 li t0,2 + 4ac: fe5212e3 bne tp,t0,490 + 4b0: fff00e93 li t4,-1 + 4b4: 02400193 li gp,36 + 4b8: 0fdf1463 bne t5,t4,5a0 -000004c0 : - 4c0: 00000213 li tp,0 - 4c4: 00700113 li sp,7 - 4c8: 00000013 nop - 4cc: 800000b7 lui ra,0x80000 - 4d0: 4020df33 sra t5,ra,sp - 4d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 4d8: 00200293 li t0,2 - 4dc: fe5214e3 bne tp,t0,4c4 - 4e0: ff000eb7 lui t4,0xff000 - 4e4: 02500193 li gp,37 - 4e8: 0bdf1e63 bne t5,t4,5a4 +000004bc : + 4bc: 00000213 li tp,0 + 4c0: 00700113 li sp,7 + 4c4: 00000013 nop + 4c8: 800000b7 lui ra,0x80000 + 4cc: 4020df33 sra t5,ra,sp + 4d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 4d4: 00200293 li t0,2 + 4d8: fe5214e3 bne tp,t0,4c0 + 4dc: ff000eb7 lui t4,0xff000 + 4e0: 02500193 li gp,37 + 4e4: 0bdf1e63 bne t5,t4,5a0 -000004ec : - 4ec: 00000213 li tp,0 - 4f0: 00e00113 li sp,14 - 4f4: 00000013 nop - 4f8: 800000b7 lui ra,0x80000 - 4fc: 00000013 nop - 500: 4020df33 sra t5,ra,sp - 504: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 508: 00200293 li t0,2 - 50c: fe5212e3 bne tp,t0,4f0 - 510: fffe0eb7 lui t4,0xfffe0 - 514: 02600193 li gp,38 - 518: 09df1663 bne t5,t4,5a4 +000004e8 : + 4e8: 00000213 li tp,0 + 4ec: 00e00113 li sp,14 + 4f0: 00000013 nop + 4f4: 800000b7 lui ra,0x80000 + 4f8: 00000013 nop + 4fc: 4020df33 sra t5,ra,sp + 500: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 504: 00200293 li t0,2 + 508: fe5212e3 bne tp,t0,4ec + 50c: fffe0eb7 lui t4,0xfffe0 + 510: 02600193 li gp,38 + 514: 09df1663 bne t5,t4,5a0 -0000051c : - 51c: 00000213 li tp,0 - 520: 01f00113 li sp,31 +00000518 : + 518: 00000213 li tp,0 + 51c: 01f00113 li sp,31 + 520: 00000013 nop 524: 00000013 nop - 528: 00000013 nop - 52c: 800000b7 lui ra,0x80000 - 530: 4020df33 sra t5,ra,sp - 534: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 538: 00200293 li t0,2 - 53c: fe5212e3 bne tp,t0,520 - 540: fff00e93 li t4,-1 - 544: 02700193 li gp,39 - 548: 05df1e63 bne t5,t4,5a4 + 528: 800000b7 lui ra,0x80000 + 52c: 4020df33 sra t5,ra,sp + 530: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 534: 00200293 li t0,2 + 538: fe5212e3 bne tp,t0,51c + 53c: fff00e93 li t4,-1 + 540: 02700193 li gp,39 + 544: 05df1e63 bne t5,t4,5a0 -0000054c : - 54c: 00f00093 li ra,15 - 550: 40105133 sra sp,zero,ra - 554: 00000e93 li t4,0 - 558: 02800193 li gp,40 - 55c: 05d11463 bne sp,t4,5a4 +00000548 : + 548: 00f00093 li ra,15 + 54c: 40105133 sra sp,zero,ra + 550: 00000e93 li t4,0 + 554: 02800193 li gp,40 + 558: 05d11463 bne sp,t4,5a0 -00000560 : - 560: 02000093 li ra,32 - 564: 4000d133 sra sp,ra,zero - 568: 02000e93 li t4,32 - 56c: 02900193 li gp,41 - 570: 03d11a63 bne sp,t4,5a4 +0000055c : + 55c: 02000093 li ra,32 + 560: 4000d133 sra sp,ra,zero + 564: 02000e93 li t4,32 + 568: 02900193 li gp,41 + 56c: 03d11a63 bne sp,t4,5a0 -00000574 : - 574: 400050b3 sra ra,zero,zero - 578: 00000e93 li t4,0 - 57c: 02a00193 li gp,42 - 580: 03d09263 bne ra,t4,5a4 +00000570 : + 570: 400050b3 sra ra,zero,zero + 574: 00000e93 li t4,0 + 578: 02a00193 li gp,42 + 57c: 03d09263 bne ra,t4,5a0 -00000584 : - 584: 40000093 li ra,1024 - 588: 00001137 lui sp,0x1 - 58c: 80010113 addi sp,sp,-2048 # 800 <_end+0x1b8> - 590: 4020d033 sra zero,ra,sp - 594: 00000e93 li t4,0 - 598: 02b00193 li gp,43 - 59c: 01d01463 bne zero,t4,5a4 - 5a0: 00301863 bne zero,gp,5b0 +00000580 : + 580: 40000093 li ra,1024 + 584: 00001137 lui sp,0x1 + 588: 80010113 addi sp,sp,-2048 # 800 <_end+0x1b8> + 58c: 4020d033 sra zero,ra,sp + 590: 00000e93 li t4,0 + 594: 02b00193 li gp,43 + 598: 01d01463 bne zero,t4,5a0 + 59c: 00301663 bne zero,gp,5a8 -000005a4 : - 5a4: 00000d93 li s11,0 - 5a8: 00100d13 li s10,1 +000005a0 : + 5a0: 1000d073 csrwi sstatus,1 -000005ac : - 5ac: 0000006f j 5ac +000005a4 : + 5a4: 0000006f j 5a4 -000005b0 : - 5b0: 00100d93 li s11,1 - 5b4: 00100d13 li s10,1 +000005a8 : + 5a8: 1001d073 csrwi sstatus,3 -000005b8 : - 5b8: 0000006f j 5b8 +000005ac : + 5ac: 0000006f j 5ac ... Disassembly of section .tohost: @@ -477,14 +474,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sra.mem b/tests/isa/generated/rv32ui-p-sra.mem index bd13030..d9f3c91 100644 --- a/tests/isa/generated/rv32ui-p-sra.mem +++ b/tests/isa/generated/rv32ui-p-sra.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 800000b7 00000113 4020df33 @@ -358,12 +357,10 @@ fff00e93 00000e93 02b00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -400,3 +397,6 @@ fff00e93 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sra.verilog b/tests/isa/generated/rv32ui-p-sra.verilog index ec4ac17..b604980 100755 --- a/tests/isa/generated/rv32ui-p-sra.verilog +++ b/tests/isa/generated/rv32ui-p-sra.verilog @@ -1,96 +1,96 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 -33 DF 20 40 B7 0E 00 80 93 01 20 00 63 14 DF 59 -B7 00 00 80 13 01 10 00 33 DF 20 40 B7 0E 00 C0 -93 01 30 00 63 18 DF 57 B7 00 00 80 13 01 70 00 -33 DF 20 40 B7 0E 00 FF 93 01 40 00 63 1C DF 55 -B7 00 00 80 13 01 E0 00 33 DF 20 40 B7 0E FE FF -93 01 50 00 63 10 DF 55 B7 00 00 80 93 80 10 00 -13 01 F0 01 33 DF 20 40 93 0E F0 FF 93 01 60 00 -63 12 DF 53 B7 00 00 80 93 80 F0 FF 13 01 00 00 -33 DF 20 40 B7 0E 00 80 93 8E FE FF 93 01 70 00 -63 12 DF 51 B7 00 00 80 93 80 F0 FF 13 01 10 00 -33 DF 20 40 B7 0E 00 40 93 8E FE FF 93 01 80 00 -63 12 DF 4F B7 00 00 80 93 80 F0 FF 13 01 70 00 -33 DF 20 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 -63 12 DF 4D B7 00 00 80 93 80 F0 FF 13 01 E0 00 -33 DF 20 40 B7 0E 02 00 93 8E FE FF 93 01 A0 00 -63 12 DF 4B B7 00 00 80 93 80 F0 FF 13 01 F0 01 -33 DF 20 40 93 0E 00 00 93 01 B0 00 63 14 DF 49 -B7 80 81 81 93 80 10 18 13 01 00 00 33 DF 20 40 -B7 8E 81 81 93 8E 1E 18 93 01 C0 00 63 14 DF 47 -B7 80 81 81 93 80 10 18 13 01 10 00 33 DF 20 40 -B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 14 DF 45 -B7 80 81 81 93 80 10 18 13 01 70 00 33 DF 20 40 -B7 0E 03 FF 93 8E 3E 30 93 01 E0 00 63 14 DF 43 -B7 80 81 81 93 80 10 18 13 01 E0 00 33 DF 20 40 -B7 0E FE FF 93 8E 6E 60 93 01 F0 00 63 14 DF 41 -B7 80 81 81 93 80 10 18 13 01 F0 01 33 DF 20 40 -93 0E F0 FF 93 01 00 01 63 16 DF 3F B7 80 81 81 -93 80 10 18 13 01 00 FC 33 DF 20 40 B7 8E 81 81 -93 8E 1E 18 93 01 10 01 63 16 DF 3D B7 80 81 81 -93 80 10 18 13 01 10 FC 33 DF 20 40 B7 CE C0 C0 -93 8E 0E 0C 93 01 20 01 63 16 DF 3B B7 80 81 81 -93 80 10 18 13 01 70 FC 33 DF 20 40 B7 0E 03 FF -93 8E 3E 30 93 01 30 01 63 16 DF 39 B7 80 81 81 -93 80 10 18 13 01 E0 FC 33 DF 20 40 B7 0E FE FF -93 8E 6E 60 93 01 40 01 63 16 DF 37 B7 80 81 81 -93 80 10 18 13 01 F0 FF 33 DF 20 40 93 0E F0 FF -93 01 50 01 63 18 DF 35 B7 00 00 80 13 01 70 00 -B3 D0 20 40 B7 0E 00 FF 93 01 60 01 63 9C D0 33 -B7 00 00 80 13 01 E0 00 33 D1 20 40 B7 0E FE FF -93 01 70 01 63 10 D1 33 93 00 70 00 B3 D0 10 40 -93 0E 00 00 93 01 80 01 63 96 D0 31 13 02 00 00 -B7 00 00 80 13 01 70 00 33 DF 20 40 13 03 0F 00 +73 50 00 10 B7 00 00 80 13 01 00 00 33 DF 20 40 +B7 0E 00 80 93 01 20 00 63 14 DF 59 B7 00 00 80 +13 01 10 00 33 DF 20 40 B7 0E 00 C0 93 01 30 00 +63 18 DF 57 B7 00 00 80 13 01 70 00 33 DF 20 40 +B7 0E 00 FF 93 01 40 00 63 1C DF 55 B7 00 00 80 +13 01 E0 00 33 DF 20 40 B7 0E FE FF 93 01 50 00 +63 10 DF 55 B7 00 00 80 93 80 10 00 13 01 F0 01 +33 DF 20 40 93 0E F0 FF 93 01 60 00 63 12 DF 53 +B7 00 00 80 93 80 F0 FF 13 01 00 00 33 DF 20 40 +B7 0E 00 80 93 8E FE FF 93 01 70 00 63 12 DF 51 +B7 00 00 80 93 80 F0 FF 13 01 10 00 33 DF 20 40 +B7 0E 00 40 93 8E FE FF 93 01 80 00 63 12 DF 4F +B7 00 00 80 93 80 F0 FF 13 01 70 00 33 DF 20 40 +B7 0E 00 01 93 8E FE FF 93 01 90 00 63 12 DF 4D +B7 00 00 80 93 80 F0 FF 13 01 E0 00 33 DF 20 40 +B7 0E 02 00 93 8E FE FF 93 01 A0 00 63 12 DF 4B +B7 00 00 80 93 80 F0 FF 13 01 F0 01 33 DF 20 40 +93 0E 00 00 93 01 B0 00 63 14 DF 49 B7 80 81 81 +93 80 10 18 13 01 00 00 33 DF 20 40 B7 8E 81 81 +93 8E 1E 18 93 01 C0 00 63 14 DF 47 B7 80 81 81 +93 80 10 18 13 01 10 00 33 DF 20 40 B7 CE C0 C0 +93 8E 0E 0C 93 01 D0 00 63 14 DF 45 B7 80 81 81 +93 80 10 18 13 01 70 00 33 DF 20 40 B7 0E 03 FF +93 8E 3E 30 93 01 E0 00 63 14 DF 43 B7 80 81 81 +93 80 10 18 13 01 E0 00 33 DF 20 40 B7 0E FE FF +93 8E 6E 60 93 01 F0 00 63 14 DF 41 B7 80 81 81 +93 80 10 18 13 01 F0 01 33 DF 20 40 93 0E F0 FF +93 01 00 01 63 16 DF 3F B7 80 81 81 93 80 10 18 +13 01 00 FC 33 DF 20 40 B7 8E 81 81 93 8E 1E 18 +93 01 10 01 63 16 DF 3D B7 80 81 81 93 80 10 18 +13 01 10 FC 33 DF 20 40 B7 CE C0 C0 93 8E 0E 0C +93 01 20 01 63 16 DF 3B B7 80 81 81 93 80 10 18 +13 01 70 FC 33 DF 20 40 B7 0E 03 FF 93 8E 3E 30 +93 01 30 01 63 16 DF 39 B7 80 81 81 93 80 10 18 +13 01 E0 FC 33 DF 20 40 B7 0E FE FF 93 8E 6E 60 +93 01 40 01 63 16 DF 37 B7 80 81 81 93 80 10 18 +13 01 F0 FF 33 DF 20 40 93 0E F0 FF 93 01 50 01 +63 18 DF 35 B7 00 00 80 13 01 70 00 B3 D0 20 40 +B7 0E 00 FF 93 01 60 01 63 9C D0 33 B7 00 00 80 +13 01 E0 00 33 D1 20 40 B7 0E FE FF 93 01 70 01 +63 10 D1 33 93 00 70 00 B3 D0 10 40 93 0E 00 00 +93 01 80 01 63 96 D0 31 13 02 00 00 B7 00 00 80 +13 01 70 00 33 DF 20 40 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 FF 93 01 90 01 +63 10 D3 2F 13 02 00 00 B7 00 00 80 13 01 E0 00 +33 DF 20 40 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E FE FF 93 01 A0 01 +63 18 D3 2B 13 02 00 00 B7 00 00 80 13 01 F0 01 +33 DF 20 40 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 0E F0 FF +93 01 B0 01 63 1E D3 27 13 02 00 00 B7 00 00 80 +13 01 70 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 16 52 FE B7 0E 00 FF 93 01 C0 01 63 1A DF 25 +13 02 00 00 B7 00 00 80 13 01 E0 00 13 00 00 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E FE FF 93 01 D0 01 63 14 DF 23 13 02 00 00 +B7 00 00 80 13 01 F0 01 13 00 00 00 13 00 00 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 FF 93 01 E0 01 63 1C DF 1F 13 02 00 00 +B7 00 00 80 13 00 00 00 13 01 70 00 33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 00 FF -93 01 90 01 63 10 D3 2F 13 02 00 00 B7 00 00 80 -13 01 E0 00 33 DF 20 40 13 00 00 00 13 03 0F 00 +93 01 F0 01 63 16 DF 1D 13 02 00 00 B7 00 00 80 +13 00 00 00 13 01 E0 00 13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E FE FF -93 01 A0 01 63 18 D3 2B 13 02 00 00 B7 00 00 80 -13 01 F0 01 33 DF 20 40 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E F0 FF 93 01 B0 01 63 1E D3 27 13 02 00 00 -B7 00 00 80 13 01 70 00 33 DF 20 40 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 C0 01 -63 1A DF 25 13 02 00 00 B7 00 00 80 13 01 E0 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E FE FF 93 01 D0 01 63 14 DF 23 -13 02 00 00 B7 00 00 80 13 01 F0 01 13 00 00 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E F0 FF 93 01 E0 01 63 1C DF 1F -13 02 00 00 B7 00 00 80 13 00 00 00 13 01 70 00 +93 01 00 02 63 1E DF 19 13 02 00 00 B7 00 00 80 +13 00 00 00 13 00 00 00 13 01 F0 01 33 DF 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF +93 01 10 02 63 16 DF 17 13 02 00 00 13 01 70 00 +B7 00 00 80 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 16 52 FE B7 0E 00 FF 93 01 20 02 63 12 DF 15 +13 02 00 00 13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 0E 00 FF 93 01 F0 01 63 16 DF 1D 13 02 00 00 -B7 00 00 80 13 00 00 00 13 01 E0 00 13 00 00 00 +B7 0E FE FF 93 01 30 02 63 1C DF 11 13 02 00 00 +13 01 F0 01 B7 00 00 80 13 00 00 00 13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E FE FF 93 01 00 02 63 1E DF 19 13 02 00 00 -B7 00 00 80 13 00 00 00 13 00 00 00 13 01 F0 01 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 FF 93 01 10 02 63 16 DF 17 13 02 00 00 -13 01 70 00 B7 00 00 80 33 DF 20 40 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 20 02 -63 12 DF 15 13 02 00 00 13 01 E0 00 B7 00 00 80 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E FE FF 93 01 30 02 63 1C DF 11 -13 02 00 00 13 01 F0 01 B7 00 00 80 13 00 00 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E F0 FF 93 01 40 02 63 14 DF 0F -13 02 00 00 13 01 70 00 13 00 00 00 B7 00 00 80 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 0E 00 FF 93 01 50 02 63 1E DF 0B 13 02 00 00 -13 01 E0 00 13 00 00 00 B7 00 00 80 13 00 00 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E FE FF 93 01 60 02 63 16 DF 09 13 02 00 00 -13 01 F0 01 13 00 00 00 13 00 00 00 B7 00 00 80 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 FF 93 01 70 02 63 1E DF 05 93 00 F0 00 -33 51 10 40 93 0E 00 00 93 01 80 02 63 14 D1 05 -93 00 00 02 33 D1 00 40 93 0E 00 02 93 01 90 02 -63 1A D1 03 B3 50 00 40 93 0E 00 00 93 01 A0 02 -63 92 D0 03 93 00 00 40 37 11 00 00 13 01 01 80 -33 D0 20 40 93 0E 00 00 93 01 B0 02 63 14 D0 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +93 0E F0 FF 93 01 40 02 63 14 DF 0F 13 02 00 00 +13 01 70 00 13 00 00 00 B7 00 00 80 33 DF 20 40 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 00 FF +93 01 50 02 63 1E DF 0B 13 02 00 00 13 01 E0 00 +13 00 00 00 B7 00 00 80 13 00 00 00 33 DF 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E FE FF +93 01 60 02 63 16 DF 09 13 02 00 00 13 01 F0 01 +13 00 00 00 13 00 00 00 B7 00 00 80 33 DF 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF +93 01 70 02 63 1E DF 05 93 00 F0 00 33 51 10 40 +93 0E 00 00 93 01 80 02 63 14 D1 05 93 00 00 02 +33 D1 00 40 93 0E 00 02 93 01 90 02 63 1A D1 03 +B3 50 00 40 93 0E 00 00 93 01 A0 02 63 92 D0 03 +93 00 00 40 37 11 00 00 13 01 01 80 33 D0 20 40 +93 0E 00 00 93 01 B0 02 63 14 D0 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srai b/tests/isa/generated/rv32ui-p-srai index a4661233a90acfc39b385741b67b26c356f234e1..2be2bdefefe325097a4667697ec68435d82f11bd 100755 GIT binary patch delta 576 zcmZoLY%!dmz?id9@jgFGaR7tBW)6X^OafvC48<211o9aefHb2({=~%na*nb zt%Jl@1hO5F_!>ZV2ohf($j(9Hn*rG!NPJrchI)o2NCGZE_5mcm50HHai4QXT3lcvD z$QEFP2{1CG0GT>Se30cHNc<8YKLv?j1GJx^21%d=s9*vTzX!^dW(+~lv~%8V-}OG>CSN=$Z?P?yjWM~)f>VB|pn0KhXk^#A|> delta 568 zcmYk&ze@sP9LMqRBNYyb2anaG7~n_nIk@Bf`kZ*jH8S|$u0Psd=01Ri?!iZ@(t{h@8K(QcM(H}hBx?y9Da=_@+: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 4000df13 srai t5,ra,0x0 - 10: 00000e93 li t4,0 - 14: 00200193 li gp,2 - 18: 2bdf1463 bne t5,t4,2c0 +00000004 : + 4: 00000093 li ra,0 + 8: 4000df13 srai t5,ra,0x0 + c: 00000e93 li t4,0 + 10: 00200193 li gp,2 + 14: 2bdf1463 bne t5,t4,2bc -0000001c : - 1c: 800000b7 lui ra,0x80000 - 20: 4010df13 srai t5,ra,0x1 - 24: c0000eb7 lui t4,0xc0000 - 28: 00300193 li gp,3 - 2c: 29df1a63 bne t5,t4,2c0 +00000018 : + 18: 800000b7 lui ra,0x80000 + 1c: 4010df13 srai t5,ra,0x1 + 20: c0000eb7 lui t4,0xc0000 + 24: 00300193 li gp,3 + 28: 29df1a63 bne t5,t4,2bc -00000030 : - 30: 800000b7 lui ra,0x80000 - 34: 4070df13 srai t5,ra,0x7 - 38: ff000eb7 lui t4,0xff000 - 3c: 00400193 li gp,4 - 40: 29df1063 bne t5,t4,2c0 +0000002c : + 2c: 800000b7 lui ra,0x80000 + 30: 4070df13 srai t5,ra,0x7 + 34: ff000eb7 lui t4,0xff000 + 38: 00400193 li gp,4 + 3c: 29df1063 bne t5,t4,2bc -00000044 : - 44: 800000b7 lui ra,0x80000 - 48: 40e0df13 srai t5,ra,0xe - 4c: fffe0eb7 lui t4,0xfffe0 - 50: 00500193 li gp,5 - 54: 27df1663 bne t5,t4,2c0 +00000040 : + 40: 800000b7 lui ra,0x80000 + 44: 40e0df13 srai t5,ra,0xe + 48: fffe0eb7 lui t4,0xfffe0 + 4c: 00500193 li gp,5 + 50: 27df1663 bne t5,t4,2bc -00000058 : - 58: 800000b7 lui ra,0x80000 - 5c: 00108093 addi ra,ra,1 # 80000001 - 60: 41f0df13 srai t5,ra,0x1f - 64: fff00e93 li t4,-1 - 68: 00600193 li gp,6 - 6c: 25df1a63 bne t5,t4,2c0 +00000054 : + 54: 800000b7 lui ra,0x80000 + 58: 00108093 addi ra,ra,1 # 80000001 + 5c: 41f0df13 srai t5,ra,0x1f + 60: fff00e93 li t4,-1 + 64: 00600193 li gp,6 + 68: 25df1a63 bne t5,t4,2bc -00000070 : - 70: 800000b7 lui ra,0x80000 - 74: fff08093 addi ra,ra,-1 # 7fffffff - 78: 4000df13 srai t5,ra,0x0 - 7c: 80000eb7 lui t4,0x80000 - 80: fffe8e93 addi t4,t4,-1 # 7fffffff - 84: 00700193 li gp,7 - 88: 23df1c63 bne t5,t4,2c0 +0000006c : + 6c: 800000b7 lui ra,0x80000 + 70: fff08093 addi ra,ra,-1 # 7fffffff + 74: 4000df13 srai t5,ra,0x0 + 78: 80000eb7 lui t4,0x80000 + 7c: fffe8e93 addi t4,t4,-1 # 7fffffff + 80: 00700193 li gp,7 + 84: 23df1c63 bne t5,t4,2bc -0000008c : - 8c: 800000b7 lui ra,0x80000 - 90: fff08093 addi ra,ra,-1 # 7fffffff - 94: 4010df13 srai t5,ra,0x1 - 98: 40000eb7 lui t4,0x40000 - 9c: fffe8e93 addi t4,t4,-1 # 3fffffff - a0: 00800193 li gp,8 - a4: 21df1e63 bne t5,t4,2c0 +00000088 : + 88: 800000b7 lui ra,0x80000 + 8c: fff08093 addi ra,ra,-1 # 7fffffff + 90: 4010df13 srai t5,ra,0x1 + 94: 40000eb7 lui t4,0x40000 + 98: fffe8e93 addi t4,t4,-1 # 3fffffff + 9c: 00800193 li gp,8 + a0: 21df1e63 bne t5,t4,2bc -000000a8 : - a8: 800000b7 lui ra,0x80000 - ac: fff08093 addi ra,ra,-1 # 7fffffff - b0: 4070df13 srai t5,ra,0x7 - b4: 01000eb7 lui t4,0x1000 - b8: fffe8e93 addi t4,t4,-1 # ffffff - bc: 00900193 li gp,9 - c0: 21df1063 bne t5,t4,2c0 +000000a4 : + a4: 800000b7 lui ra,0x80000 + a8: fff08093 addi ra,ra,-1 # 7fffffff + ac: 4070df13 srai t5,ra,0x7 + b0: 01000eb7 lui t4,0x1000 + b4: fffe8e93 addi t4,t4,-1 # ffffff + b8: 00900193 li gp,9 + bc: 21df1063 bne t5,t4,2bc -000000c4 : - c4: 800000b7 lui ra,0x80000 - c8: fff08093 addi ra,ra,-1 # 7fffffff - cc: 40e0df13 srai t5,ra,0xe - d0: 00020eb7 lui t4,0x20 - d4: fffe8e93 addi t4,t4,-1 # 1ffff - d8: 00a00193 li gp,10 - dc: 1fdf1263 bne t5,t4,2c0 +000000c0 : + c0: 800000b7 lui ra,0x80000 + c4: fff08093 addi ra,ra,-1 # 7fffffff + c8: 40e0df13 srai t5,ra,0xe + cc: 00020eb7 lui t4,0x20 + d0: fffe8e93 addi t4,t4,-1 # 1ffff + d4: 00a00193 li gp,10 + d8: 1fdf1263 bne t5,t4,2bc -000000e0 : - e0: 800000b7 lui ra,0x80000 - e4: fff08093 addi ra,ra,-1 # 7fffffff - e8: 41f0df13 srai t5,ra,0x1f - ec: 00000e93 li t4,0 - f0: 00b00193 li gp,11 - f4: 1ddf1663 bne t5,t4,2c0 +000000dc : + dc: 800000b7 lui ra,0x80000 + e0: fff08093 addi ra,ra,-1 # 7fffffff + e4: 41f0df13 srai t5,ra,0x1f + e8: 00000e93 li t4,0 + ec: 00b00193 li gp,11 + f0: 1ddf1663 bne t5,t4,2bc -000000f8 : - f8: 818180b7 lui ra,0x81818 - fc: 18108093 addi ra,ra,385 # 81818181 - 100: 4000df13 srai t5,ra,0x0 - 104: 81818eb7 lui t4,0x81818 - 108: 181e8e93 addi t4,t4,385 # 81818181 - 10c: 00c00193 li gp,12 - 110: 1bdf1863 bne t5,t4,2c0 +000000f4 : + f4: 818180b7 lui ra,0x81818 + f8: 18108093 addi ra,ra,385 # 81818181 + fc: 4000df13 srai t5,ra,0x0 + 100: 81818eb7 lui t4,0x81818 + 104: 181e8e93 addi t4,t4,385 # 81818181 + 108: 00c00193 li gp,12 + 10c: 1bdf1863 bne t5,t4,2bc -00000114 : - 114: 818180b7 lui ra,0x81818 - 118: 18108093 addi ra,ra,385 # 81818181 - 11c: 4010df13 srai t5,ra,0x1 - 120: c0c0ceb7 lui t4,0xc0c0c - 124: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 - 128: 00d00193 li gp,13 - 12c: 19df1a63 bne t5,t4,2c0 +00000110 : + 110: 818180b7 lui ra,0x81818 + 114: 18108093 addi ra,ra,385 # 81818181 + 118: 4010df13 srai t5,ra,0x1 + 11c: c0c0ceb7 lui t4,0xc0c0c + 120: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 + 124: 00d00193 li gp,13 + 128: 19df1a63 bne t5,t4,2bc -00000130 : - 130: 818180b7 lui ra,0x81818 - 134: 18108093 addi ra,ra,385 # 81818181 - 138: 4070df13 srai t5,ra,0x7 - 13c: ff030eb7 lui t4,0xff030 - 140: 303e8e93 addi t4,t4,771 # ff030303 - 144: 00e00193 li gp,14 - 148: 17df1c63 bne t5,t4,2c0 +0000012c : + 12c: 818180b7 lui ra,0x81818 + 130: 18108093 addi ra,ra,385 # 81818181 + 134: 4070df13 srai t5,ra,0x7 + 138: ff030eb7 lui t4,0xff030 + 13c: 303e8e93 addi t4,t4,771 # ff030303 + 140: 00e00193 li gp,14 + 144: 17df1c63 bne t5,t4,2bc -0000014c : - 14c: 818180b7 lui ra,0x81818 - 150: 18108093 addi ra,ra,385 # 81818181 - 154: 40e0df13 srai t5,ra,0xe - 158: fffe0eb7 lui t4,0xfffe0 - 15c: 606e8e93 addi t4,t4,1542 # fffe0606 - 160: 00f00193 li gp,15 - 164: 15df1e63 bne t5,t4,2c0 +00000148 : + 148: 818180b7 lui ra,0x81818 + 14c: 18108093 addi ra,ra,385 # 81818181 + 150: 40e0df13 srai t5,ra,0xe + 154: fffe0eb7 lui t4,0xfffe0 + 158: 606e8e93 addi t4,t4,1542 # fffe0606 + 15c: 00f00193 li gp,15 + 160: 15df1e63 bne t5,t4,2bc -00000168 : - 168: 818180b7 lui ra,0x81818 - 16c: 18108093 addi ra,ra,385 # 81818181 - 170: 41f0df13 srai t5,ra,0x1f - 174: fff00e93 li t4,-1 - 178: 01000193 li gp,16 - 17c: 15df1263 bne t5,t4,2c0 +00000164 : + 164: 818180b7 lui ra,0x81818 + 168: 18108093 addi ra,ra,385 # 81818181 + 16c: 41f0df13 srai t5,ra,0x1f + 170: fff00e93 li t4,-1 + 174: 01000193 li gp,16 + 178: 15df1263 bne t5,t4,2bc -00000180 : - 180: 800000b7 lui ra,0x80000 - 184: 4070d093 srai ra,ra,0x7 - 188: ff000eb7 lui t4,0xff000 - 18c: 01100193 li gp,17 - 190: 13d09863 bne ra,t4,2c0 +0000017c : + 17c: 800000b7 lui ra,0x80000 + 180: 4070d093 srai ra,ra,0x7 + 184: ff000eb7 lui t4,0xff000 + 188: 01100193 li gp,17 + 18c: 13d09863 bne ra,t4,2bc -00000194 : - 194: 00000213 li tp,0 - 198: 800000b7 lui ra,0x80000 - 19c: 4070df13 srai t5,ra,0x7 - 1a0: 000f0313 mv t1,t5 - 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a8: 00200293 li t0,2 - 1ac: fe5216e3 bne tp,t0,198 - 1b0: ff000eb7 lui t4,0xff000 - 1b4: 01200193 li gp,18 - 1b8: 11d31463 bne t1,t4,2c0 +00000190 : + 190: 00000213 li tp,0 + 194: 800000b7 lui ra,0x80000 + 198: 4070df13 srai t5,ra,0x7 + 19c: 000f0313 mv t1,t5 + 1a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a4: 00200293 li t0,2 + 1a8: fe5216e3 bne tp,t0,194 + 1ac: ff000eb7 lui t4,0xff000 + 1b0: 01200193 li gp,18 + 1b4: 11d31463 bne t1,t4,2bc -000001bc : - 1bc: 00000213 li tp,0 - 1c0: 800000b7 lui ra,0x80000 - 1c4: 40e0df13 srai t5,ra,0xe - 1c8: 00000013 nop - 1cc: 000f0313 mv t1,t5 - 1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d4: 00200293 li t0,2 - 1d8: fe5214e3 bne tp,t0,1c0 - 1dc: fffe0eb7 lui t4,0xfffe0 - 1e0: 01300193 li gp,19 - 1e4: 0dd31e63 bne t1,t4,2c0 +000001b8 : + 1b8: 00000213 li tp,0 + 1bc: 800000b7 lui ra,0x80000 + 1c0: 40e0df13 srai t5,ra,0xe + 1c4: 00000013 nop + 1c8: 000f0313 mv t1,t5 + 1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d0: 00200293 li t0,2 + 1d4: fe5214e3 bne tp,t0,1bc + 1d8: fffe0eb7 lui t4,0xfffe0 + 1dc: 01300193 li gp,19 + 1e0: 0dd31e63 bne t1,t4,2bc -000001e8 : - 1e8: 00000213 li tp,0 - 1ec: 800000b7 lui ra,0x80000 - 1f0: 00108093 addi ra,ra,1 # 80000001 - 1f4: 41f0df13 srai t5,ra,0x1f +000001e4 : + 1e4: 00000213 li tp,0 + 1e8: 800000b7 lui ra,0x80000 + 1ec: 00108093 addi ra,ra,1 # 80000001 + 1f0: 41f0df13 srai t5,ra,0x1f + 1f4: 00000013 nop 1f8: 00000013 nop - 1fc: 00000013 nop - 200: 000f0313 mv t1,t5 - 204: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 208: 00200293 li t0,2 - 20c: fe5210e3 bne tp,t0,1ec - 210: fff00e93 li t4,-1 - 214: 01400193 li gp,20 - 218: 0bd31463 bne t1,t4,2c0 + 1fc: 000f0313 mv t1,t5 + 200: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 204: 00200293 li t0,2 + 208: fe5210e3 bne tp,t0,1e8 + 20c: fff00e93 li t4,-1 + 210: 01400193 li gp,20 + 214: 0bd31463 bne t1,t4,2bc -0000021c : - 21c: 00000213 li tp,0 - 220: 800000b7 lui ra,0x80000 - 224: 4070df13 srai t5,ra,0x7 - 228: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 22c: 00200293 li t0,2 - 230: fe5218e3 bne tp,t0,220 - 234: ff000eb7 lui t4,0xff000 - 238: 01500193 li gp,21 - 23c: 09df1263 bne t5,t4,2c0 +00000218 : + 218: 00000213 li tp,0 + 21c: 800000b7 lui ra,0x80000 + 220: 4070df13 srai t5,ra,0x7 + 224: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 228: 00200293 li t0,2 + 22c: fe5218e3 bne tp,t0,21c + 230: ff000eb7 lui t4,0xff000 + 234: 01500193 li gp,21 + 238: 09df1263 bne t5,t4,2bc -00000240 : - 240: 00000213 li tp,0 - 244: 800000b7 lui ra,0x80000 - 248: 00000013 nop - 24c: 40e0df13 srai t5,ra,0xe - 250: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 254: 00200293 li t0,2 - 258: fe5216e3 bne tp,t0,244 - 25c: fffe0eb7 lui t4,0xfffe0 - 260: 01600193 li gp,22 - 264: 05df1e63 bne t5,t4,2c0 +0000023c : + 23c: 00000213 li tp,0 + 240: 800000b7 lui ra,0x80000 + 244: 00000013 nop + 248: 40e0df13 srai t5,ra,0xe + 24c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 250: 00200293 li t0,2 + 254: fe5216e3 bne tp,t0,240 + 258: fffe0eb7 lui t4,0xfffe0 + 25c: 01600193 li gp,22 + 260: 05df1e63 bne t5,t4,2bc -00000268 : - 268: 00000213 li tp,0 - 26c: 800000b7 lui ra,0x80000 - 270: 00108093 addi ra,ra,1 # 80000001 +00000264 : + 264: 00000213 li tp,0 + 268: 800000b7 lui ra,0x80000 + 26c: 00108093 addi ra,ra,1 # 80000001 + 270: 00000013 nop 274: 00000013 nop - 278: 00000013 nop - 27c: 41f0df13 srai t5,ra,0x1f - 280: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 284: 00200293 li t0,2 - 288: fe5212e3 bne tp,t0,26c - 28c: fff00e93 li t4,-1 - 290: 01700193 li gp,23 - 294: 03df1663 bne t5,t4,2c0 + 278: 41f0df13 srai t5,ra,0x1f + 27c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 280: 00200293 li t0,2 + 284: fe5212e3 bne tp,t0,268 + 288: fff00e93 li t4,-1 + 28c: 01700193 li gp,23 + 290: 03df1663 bne t5,t4,2bc -00000298 : - 298: 40405093 srai ra,zero,0x4 - 29c: 00000e93 li t4,0 - 2a0: 01800193 li gp,24 - 2a4: 01d09e63 bne ra,t4,2c0 +00000294 : + 294: 40405093 srai ra,zero,0x4 + 298: 00000e93 li t4,0 + 29c: 01800193 li gp,24 + 2a0: 01d09e63 bne ra,t4,2bc -000002a8 : - 2a8: 02100093 li ra,33 - 2ac: 40a0d013 srai zero,ra,0xa - 2b0: 00000e93 li t4,0 - 2b4: 01900193 li gp,25 - 2b8: 01d01463 bne zero,t4,2c0 - 2bc: 00301863 bne zero,gp,2cc +000002a4 : + 2a4: 02100093 li ra,33 + 2a8: 40a0d013 srai zero,ra,0xa + 2ac: 00000e93 li t4,0 + 2b0: 01900193 li gp,25 + 2b4: 01d01463 bne zero,t4,2bc + 2b8: 00301663 bne zero,gp,2c4 -000002c0 : - 2c0: 00000d93 li s11,0 - 2c4: 00100d13 li s10,1 +000002bc : + 2bc: 1000d073 csrwi sstatus,1 -000002c8 : - 2c8: 0000006f j 2c8 +000002c0 : + 2c0: 0000006f j 2c0 -000002cc : - 2cc: 00100d93 li s11,1 - 2d0: 00100d13 li s10,1 +000002c4 : + 2c4: 1001d073 csrwi sstatus,3 -000002d4 : - 2d4: 0000006f j 2d4 +000002c8 : + 2c8: 0000006f j 2c8 ... Disassembly of section .tohost: @@ -256,14 +253,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-srai.mem b/tests/isa/generated/rv32ui-p-srai.mem index b3d7cf6..1dad854 100644 --- a/tests/isa/generated/rv32ui-p-srai.mem +++ b/tests/isa/generated/rv32ui-p-srai.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 4000df13 00000e93 @@ -173,12 +172,10 @@ fff00e93 00000e93 01900193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -224,3 +221,6 @@ fff00e93 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srai.verilog b/tests/isa/generated/rv32ui-p-srai.verilog index f849661..b3dfa3b 100755 --- a/tests/isa/generated/rv32ui-p-srai.verilog +++ b/tests/isa/generated/rv32ui-p-srai.verilog @@ -1,50 +1,50 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 DF 00 40 -93 0E 00 00 93 01 20 00 63 14 DF 2B B7 00 00 80 -13 DF 10 40 B7 0E 00 C0 93 01 30 00 63 1A DF 29 -B7 00 00 80 13 DF 70 40 B7 0E 00 FF 93 01 40 00 -63 10 DF 29 B7 00 00 80 13 DF E0 40 B7 0E FE FF -93 01 50 00 63 16 DF 27 B7 00 00 80 93 80 10 00 -13 DF F0 41 93 0E F0 FF 93 01 60 00 63 1A DF 25 -B7 00 00 80 93 80 F0 FF 13 DF 00 40 B7 0E 00 80 -93 8E FE FF 93 01 70 00 63 1C DF 23 B7 00 00 80 -93 80 F0 FF 13 DF 10 40 B7 0E 00 40 93 8E FE FF -93 01 80 00 63 1E DF 21 B7 00 00 80 93 80 F0 FF -13 DF 70 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 -63 10 DF 21 B7 00 00 80 93 80 F0 FF 13 DF E0 40 -B7 0E 02 00 93 8E FE FF 93 01 A0 00 63 12 DF 1F -B7 00 00 80 93 80 F0 FF 13 DF F0 41 93 0E 00 00 -93 01 B0 00 63 16 DF 1D B7 80 81 81 93 80 10 18 -13 DF 00 40 B7 8E 81 81 93 8E 1E 18 93 01 C0 00 -63 18 DF 1B B7 80 81 81 93 80 10 18 13 DF 10 40 -B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 1A DF 19 -B7 80 81 81 93 80 10 18 13 DF 70 40 B7 0E 03 FF -93 8E 3E 30 93 01 E0 00 63 1C DF 17 B7 80 81 81 -93 80 10 18 13 DF E0 40 B7 0E FE FF 93 8E 6E 60 -93 01 F0 00 63 1E DF 15 B7 80 81 81 93 80 10 18 -13 DF F0 41 93 0E F0 FF 93 01 00 01 63 12 DF 15 -B7 00 00 80 93 D0 70 40 B7 0E 00 FF 93 01 10 01 -63 98 D0 13 13 02 00 00 B7 00 00 80 13 DF 70 40 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 FF 93 01 20 01 63 14 D3 11 13 02 00 00 -B7 00 00 80 13 DF E0 40 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E FE FF -93 01 30 01 63 1E D3 0D 13 02 00 00 B7 00 00 80 -93 80 10 00 13 DF F0 41 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E F0 FF 93 01 40 01 63 14 D3 0B 13 02 00 00 -B7 00 00 80 13 DF 70 40 13 02 12 00 93 02 20 00 -E3 18 52 FE B7 0E 00 FF 93 01 50 01 63 12 DF 09 -13 02 00 00 B7 00 00 80 13 00 00 00 13 DF E0 40 -13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E FE FF -93 01 60 01 63 1E DF 05 13 02 00 00 B7 00 00 80 -93 80 10 00 13 00 00 00 13 00 00 00 13 DF F0 41 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF -93 01 70 01 63 16 DF 03 93 50 40 40 93 0E 00 00 -93 01 80 01 63 9E D0 01 93 00 10 02 13 D0 A0 40 -93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 93 00 00 00 13 DF 00 40 93 0E 00 00 +93 01 20 00 63 14 DF 2B B7 00 00 80 13 DF 10 40 +B7 0E 00 C0 93 01 30 00 63 1A DF 29 B7 00 00 80 +13 DF 70 40 B7 0E 00 FF 93 01 40 00 63 10 DF 29 +B7 00 00 80 13 DF E0 40 B7 0E FE FF 93 01 50 00 +63 16 DF 27 B7 00 00 80 93 80 10 00 13 DF F0 41 +93 0E F0 FF 93 01 60 00 63 1A DF 25 B7 00 00 80 +93 80 F0 FF 13 DF 00 40 B7 0E 00 80 93 8E FE FF +93 01 70 00 63 1C DF 23 B7 00 00 80 93 80 F0 FF +13 DF 10 40 B7 0E 00 40 93 8E FE FF 93 01 80 00 +63 1E DF 21 B7 00 00 80 93 80 F0 FF 13 DF 70 40 +B7 0E 00 01 93 8E FE FF 93 01 90 00 63 10 DF 21 +B7 00 00 80 93 80 F0 FF 13 DF E0 40 B7 0E 02 00 +93 8E FE FF 93 01 A0 00 63 12 DF 1F B7 00 00 80 +93 80 F0 FF 13 DF F0 41 93 0E 00 00 93 01 B0 00 +63 16 DF 1D B7 80 81 81 93 80 10 18 13 DF 00 40 +B7 8E 81 81 93 8E 1E 18 93 01 C0 00 63 18 DF 1B +B7 80 81 81 93 80 10 18 13 DF 10 40 B7 CE C0 C0 +93 8E 0E 0C 93 01 D0 00 63 1A DF 19 B7 80 81 81 +93 80 10 18 13 DF 70 40 B7 0E 03 FF 93 8E 3E 30 +93 01 E0 00 63 1C DF 17 B7 80 81 81 93 80 10 18 +13 DF E0 40 B7 0E FE FF 93 8E 6E 60 93 01 F0 00 +63 1E DF 15 B7 80 81 81 93 80 10 18 13 DF F0 41 +93 0E F0 FF 93 01 00 01 63 12 DF 15 B7 00 00 80 +93 D0 70 40 B7 0E 00 FF 93 01 10 01 63 98 D0 13 +13 02 00 00 B7 00 00 80 13 DF 70 40 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E 00 FF +93 01 20 01 63 14 D3 11 13 02 00 00 B7 00 00 80 +13 DF E0 40 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E FE FF 93 01 30 01 +63 1E D3 0D 13 02 00 00 B7 00 00 80 93 80 10 00 +13 DF F0 41 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 0E F0 FF +93 01 40 01 63 14 D3 0B 13 02 00 00 B7 00 00 80 +13 DF 70 40 13 02 12 00 93 02 20 00 E3 18 52 FE +B7 0E 00 FF 93 01 50 01 63 12 DF 09 13 02 00 00 +B7 00 00 80 13 00 00 00 13 DF E0 40 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E FE FF 93 01 60 01 +63 1E DF 05 13 02 00 00 B7 00 00 80 93 80 10 00 +13 00 00 00 13 00 00 00 13 DF F0 41 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E F0 FF 93 01 70 01 +63 16 DF 03 93 50 40 40 93 0E 00 00 93 01 80 01 +63 9E D0 01 93 00 10 02 13 D0 A0 40 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srl b/tests/isa/generated/rv32ui-p-srl index 953c81eedc71352c1d7e0ff63e0f56716f566d2a..840bcd09c48e0a840b3418e74e04dad5fbf60834 100755 GIT binary patch delta 866 zcmYk)F-QVY7zgn0*%?wn2Zdx^q7>K=BqpIykl+v@)F4q1foNoq87fYJ1`Y)c$vH$c zG&Ht|jSUTr4GxV$4UG*B4GjhTPYeIw@ZSITy}Nt&xNC<$!xtXas(W9Dwfws14TpYW z*PVXJH$~5iU{-pznrOHqlTdCypDc&@OPLx^ z@E!RXZjoPLy$?gDl(aC1$nS89`~laTF8X8XP(a`Lak|B77JPH@c27F7N zhMVMBSm>V$!v3B&WD$*MuhIE_o8%bQ)8r(4L5{=cJXpg~B;p+TY`f=EcgtSq7-kiem#pm-4t z4FwGi4TS{_jgE~D4Mhcx4GuRp^mP(`f4Fzw@4Ml>2WKamiQ|xZy&wGWxV=3h8c)?Q z_g#K})Pc9hly&+0V2YFVRqu^IfTon+TGH0bS{fm)$5^qhvve z9J<=}B8L7cN`lC7@*wgoIf9%ck04jbshR?}1TqBo%P}o!$xNX=#>5P(YI8FA!yJQQ#A&22Q zc^Ee6pNhe;w&!F5MXFs$5?&;y;R1ODJ|}13Q}PmgsgDB1uIbI0;##(: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 800000b7 lui ra,0x80000 - c: 00000113 li sp,0 - 10: 0020df33 srl t5,ra,sp - 14: 80000eb7 lui t4,0x80000 - 18: 00200193 li gp,2 - 1c: 57df1863 bne t5,t4,58c +00000004 : + 4: 800000b7 lui ra,0x80000 + 8: 00000113 li sp,0 + c: 0020df33 srl t5,ra,sp + 10: 80000eb7 lui t4,0x80000 + 14: 00200193 li gp,2 + 18: 57df1863 bne t5,t4,588 -00000020 : - 20: 800000b7 lui ra,0x80000 - 24: 00100113 li sp,1 - 28: 0020df33 srl t5,ra,sp - 2c: 40000eb7 lui t4,0x40000 - 30: 00300193 li gp,3 - 34: 55df1c63 bne t5,t4,58c +0000001c : + 1c: 800000b7 lui ra,0x80000 + 20: 00100113 li sp,1 + 24: 0020df33 srl t5,ra,sp + 28: 40000eb7 lui t4,0x40000 + 2c: 00300193 li gp,3 + 30: 55df1c63 bne t5,t4,588 -00000038 : - 38: 800000b7 lui ra,0x80000 - 3c: 00700113 li sp,7 - 40: 0020df33 srl t5,ra,sp - 44: 01000eb7 lui t4,0x1000 - 48: 00400193 li gp,4 - 4c: 55df1063 bne t5,t4,58c +00000034 : + 34: 800000b7 lui ra,0x80000 + 38: 00700113 li sp,7 + 3c: 0020df33 srl t5,ra,sp + 40: 01000eb7 lui t4,0x1000 + 44: 00400193 li gp,4 + 48: 55df1063 bne t5,t4,588 -00000050 : - 50: 800000b7 lui ra,0x80000 - 54: 00e00113 li sp,14 - 58: 0020df33 srl t5,ra,sp - 5c: 00020eb7 lui t4,0x20 - 60: 00500193 li gp,5 - 64: 53df1463 bne t5,t4,58c +0000004c : + 4c: 800000b7 lui ra,0x80000 + 50: 00e00113 li sp,14 + 54: 0020df33 srl t5,ra,sp + 58: 00020eb7 lui t4,0x20 + 5c: 00500193 li gp,5 + 60: 53df1463 bne t5,t4,588 -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00108093 addi ra,ra,1 # 80000001 - 70: 01f00113 li sp,31 - 74: 0020df33 srl t5,ra,sp - 78: 00100e93 li t4,1 - 7c: 00600193 li gp,6 - 80: 51df1663 bne t5,t4,58c +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00108093 addi ra,ra,1 # 80000001 + 6c: 01f00113 li sp,31 + 70: 0020df33 srl t5,ra,sp + 74: 00100e93 li t4,1 + 78: 00600193 li gp,6 + 7c: 51df1663 bne t5,t4,588 -00000084 : - 84: fff00093 li ra,-1 - 88: 00000113 li sp,0 - 8c: 0020df33 srl t5,ra,sp - 90: fff00e93 li t4,-1 - 94: 00700193 li gp,7 - 98: 4fdf1a63 bne t5,t4,58c +00000080 : + 80: fff00093 li ra,-1 + 84: 00000113 li sp,0 + 88: 0020df33 srl t5,ra,sp + 8c: fff00e93 li t4,-1 + 90: 00700193 li gp,7 + 94: 4fdf1a63 bne t5,t4,588 -0000009c : - 9c: fff00093 li ra,-1 - a0: 00100113 li sp,1 - a4: 0020df33 srl t5,ra,sp - a8: 80000eb7 lui t4,0x80000 - ac: fffe8e93 addi t4,t4,-1 # 7fffffff - b0: 00800193 li gp,8 - b4: 4ddf1c63 bne t5,t4,58c +00000098 : + 98: fff00093 li ra,-1 + 9c: 00100113 li sp,1 + a0: 0020df33 srl t5,ra,sp + a4: 80000eb7 lui t4,0x80000 + a8: fffe8e93 addi t4,t4,-1 # 7fffffff + ac: 00800193 li gp,8 + b0: 4ddf1c63 bne t5,t4,588 -000000b8 : - b8: fff00093 li ra,-1 - bc: 00700113 li sp,7 - c0: 0020df33 srl t5,ra,sp - c4: 02000eb7 lui t4,0x2000 - c8: fffe8e93 addi t4,t4,-1 # 1ffffff - cc: 00900193 li gp,9 - d0: 4bdf1e63 bne t5,t4,58c +000000b4 : + b4: fff00093 li ra,-1 + b8: 00700113 li sp,7 + bc: 0020df33 srl t5,ra,sp + c0: 02000eb7 lui t4,0x2000 + c4: fffe8e93 addi t4,t4,-1 # 1ffffff + c8: 00900193 li gp,9 + cc: 4bdf1e63 bne t5,t4,588 -000000d4 : - d4: fff00093 li ra,-1 - d8: 00e00113 li sp,14 - dc: 0020df33 srl t5,ra,sp - e0: 00040eb7 lui t4,0x40 - e4: fffe8e93 addi t4,t4,-1 # 3ffff - e8: 00a00193 li gp,10 - ec: 4bdf1063 bne t5,t4,58c +000000d0 : + d0: fff00093 li ra,-1 + d4: 00e00113 li sp,14 + d8: 0020df33 srl t5,ra,sp + dc: 00040eb7 lui t4,0x40 + e0: fffe8e93 addi t4,t4,-1 # 3ffff + e4: 00a00193 li gp,10 + e8: 4bdf1063 bne t5,t4,588 -000000f0 : - f0: fff00093 li ra,-1 - f4: 01f00113 li sp,31 - f8: 0020df33 srl t5,ra,sp - fc: 00100e93 li t4,1 - 100: 00b00193 li gp,11 - 104: 49df1463 bne t5,t4,58c +000000ec : + ec: fff00093 li ra,-1 + f0: 01f00113 li sp,31 + f4: 0020df33 srl t5,ra,sp + f8: 00100e93 li t4,1 + fc: 00b00193 li gp,11 + 100: 49df1463 bne t5,t4,588 -00000108 : - 108: 212120b7 lui ra,0x21212 - 10c: 12108093 addi ra,ra,289 # 21212121 - 110: 00000113 li sp,0 - 114: 0020df33 srl t5,ra,sp - 118: 21212eb7 lui t4,0x21212 - 11c: 121e8e93 addi t4,t4,289 # 21212121 - 120: 00c00193 li gp,12 - 124: 47df1463 bne t5,t4,58c +00000104 : + 104: 212120b7 lui ra,0x21212 + 108: 12108093 addi ra,ra,289 # 21212121 + 10c: 00000113 li sp,0 + 110: 0020df33 srl t5,ra,sp + 114: 21212eb7 lui t4,0x21212 + 118: 121e8e93 addi t4,t4,289 # 21212121 + 11c: 00c00193 li gp,12 + 120: 47df1463 bne t5,t4,588 -00000128 : - 128: 212120b7 lui ra,0x21212 - 12c: 12108093 addi ra,ra,289 # 21212121 - 130: 00100113 li sp,1 - 134: 0020df33 srl t5,ra,sp - 138: 10909eb7 lui t4,0x10909 - 13c: 090e8e93 addi t4,t4,144 # 10909090 - 140: 00d00193 li gp,13 - 144: 45df1463 bne t5,t4,58c +00000124 : + 124: 212120b7 lui ra,0x21212 + 128: 12108093 addi ra,ra,289 # 21212121 + 12c: 00100113 li sp,1 + 130: 0020df33 srl t5,ra,sp + 134: 10909eb7 lui t4,0x10909 + 138: 090e8e93 addi t4,t4,144 # 10909090 + 13c: 00d00193 li gp,13 + 140: 45df1463 bne t5,t4,588 -00000148 : - 148: 212120b7 lui ra,0x21212 - 14c: 12108093 addi ra,ra,289 # 21212121 - 150: 00700113 li sp,7 - 154: 0020df33 srl t5,ra,sp - 158: 00424eb7 lui t4,0x424 - 15c: 242e8e93 addi t4,t4,578 # 424242 - 160: 00e00193 li gp,14 - 164: 43df1463 bne t5,t4,58c +00000144 : + 144: 212120b7 lui ra,0x21212 + 148: 12108093 addi ra,ra,289 # 21212121 + 14c: 00700113 li sp,7 + 150: 0020df33 srl t5,ra,sp + 154: 00424eb7 lui t4,0x424 + 158: 242e8e93 addi t4,t4,578 # 424242 + 15c: 00e00193 li gp,14 + 160: 43df1463 bne t5,t4,588 -00000168 : - 168: 212120b7 lui ra,0x21212 - 16c: 12108093 addi ra,ra,289 # 21212121 - 170: 00e00113 li sp,14 - 174: 0020df33 srl t5,ra,sp - 178: 00008eb7 lui t4,0x8 - 17c: 484e8e93 addi t4,t4,1156 # 8484 - 180: 00f00193 li gp,15 - 184: 41df1463 bne t5,t4,58c +00000164 : + 164: 212120b7 lui ra,0x21212 + 168: 12108093 addi ra,ra,289 # 21212121 + 16c: 00e00113 li sp,14 + 170: 0020df33 srl t5,ra,sp + 174: 00008eb7 lui t4,0x8 + 178: 484e8e93 addi t4,t4,1156 # 8484 + 17c: 00f00193 li gp,15 + 180: 41df1463 bne t5,t4,588 -00000188 : - 188: 212120b7 lui ra,0x21212 - 18c: 12108093 addi ra,ra,289 # 21212121 - 190: 01f00113 li sp,31 - 194: 0020df33 srl t5,ra,sp - 198: 00000e93 li t4,0 - 19c: 01000193 li gp,16 - 1a0: 3fdf1663 bne t5,t4,58c +00000184 : + 184: 212120b7 lui ra,0x21212 + 188: 12108093 addi ra,ra,289 # 21212121 + 18c: 01f00113 li sp,31 + 190: 0020df33 srl t5,ra,sp + 194: 00000e93 li t4,0 + 198: 01000193 li gp,16 + 19c: 3fdf1663 bne t5,t4,588 -000001a4 : - 1a4: 212120b7 lui ra,0x21212 - 1a8: 12108093 addi ra,ra,289 # 21212121 - 1ac: fc000113 li sp,-64 - 1b0: 0020df33 srl t5,ra,sp - 1b4: 21212eb7 lui t4,0x21212 - 1b8: 121e8e93 addi t4,t4,289 # 21212121 - 1bc: 01100193 li gp,17 - 1c0: 3ddf1663 bne t5,t4,58c +000001a0 : + 1a0: 212120b7 lui ra,0x21212 + 1a4: 12108093 addi ra,ra,289 # 21212121 + 1a8: fc000113 li sp,-64 + 1ac: 0020df33 srl t5,ra,sp + 1b0: 21212eb7 lui t4,0x21212 + 1b4: 121e8e93 addi t4,t4,289 # 21212121 + 1b8: 01100193 li gp,17 + 1bc: 3ddf1663 bne t5,t4,588 -000001c4 : - 1c4: 212120b7 lui ra,0x21212 - 1c8: 12108093 addi ra,ra,289 # 21212121 - 1cc: fc100113 li sp,-63 - 1d0: 0020df33 srl t5,ra,sp - 1d4: 10909eb7 lui t4,0x10909 - 1d8: 090e8e93 addi t4,t4,144 # 10909090 - 1dc: 01200193 li gp,18 - 1e0: 3bdf1663 bne t5,t4,58c +000001c0 : + 1c0: 212120b7 lui ra,0x21212 + 1c4: 12108093 addi ra,ra,289 # 21212121 + 1c8: fc100113 li sp,-63 + 1cc: 0020df33 srl t5,ra,sp + 1d0: 10909eb7 lui t4,0x10909 + 1d4: 090e8e93 addi t4,t4,144 # 10909090 + 1d8: 01200193 li gp,18 + 1dc: 3bdf1663 bne t5,t4,588 -000001e4 : - 1e4: 212120b7 lui ra,0x21212 - 1e8: 12108093 addi ra,ra,289 # 21212121 - 1ec: fc700113 li sp,-57 - 1f0: 0020df33 srl t5,ra,sp - 1f4: 00424eb7 lui t4,0x424 - 1f8: 242e8e93 addi t4,t4,578 # 424242 - 1fc: 01300193 li gp,19 - 200: 39df1663 bne t5,t4,58c +000001e0 : + 1e0: 212120b7 lui ra,0x21212 + 1e4: 12108093 addi ra,ra,289 # 21212121 + 1e8: fc700113 li sp,-57 + 1ec: 0020df33 srl t5,ra,sp + 1f0: 00424eb7 lui t4,0x424 + 1f4: 242e8e93 addi t4,t4,578 # 424242 + 1f8: 01300193 li gp,19 + 1fc: 39df1663 bne t5,t4,588 -00000204 : - 204: 212120b7 lui ra,0x21212 - 208: 12108093 addi ra,ra,289 # 21212121 - 20c: fce00113 li sp,-50 - 210: 0020df33 srl t5,ra,sp - 214: 00008eb7 lui t4,0x8 - 218: 484e8e93 addi t4,t4,1156 # 8484 - 21c: 01400193 li gp,20 - 220: 37df1663 bne t5,t4,58c +00000200 : + 200: 212120b7 lui ra,0x21212 + 204: 12108093 addi ra,ra,289 # 21212121 + 208: fce00113 li sp,-50 + 20c: 0020df33 srl t5,ra,sp + 210: 00008eb7 lui t4,0x8 + 214: 484e8e93 addi t4,t4,1156 # 8484 + 218: 01400193 li gp,20 + 21c: 37df1663 bne t5,t4,588 -00000224 : - 224: 212120b7 lui ra,0x21212 - 228: 12108093 addi ra,ra,289 # 21212121 - 22c: fff00113 li sp,-1 - 230: 0020df33 srl t5,ra,sp - 234: 00000e93 li t4,0 - 238: 01500193 li gp,21 - 23c: 35df1863 bne t5,t4,58c +00000220 : + 220: 212120b7 lui ra,0x21212 + 224: 12108093 addi ra,ra,289 # 21212121 + 228: fff00113 li sp,-1 + 22c: 0020df33 srl t5,ra,sp + 230: 00000e93 li t4,0 + 234: 01500193 li gp,21 + 238: 35df1863 bne t5,t4,588 -00000240 : - 240: 800000b7 lui ra,0x80000 - 244: 00700113 li sp,7 - 248: 0020d0b3 srl ra,ra,sp - 24c: 01000eb7 lui t4,0x1000 - 250: 01600193 li gp,22 - 254: 33d09c63 bne ra,t4,58c +0000023c : + 23c: 800000b7 lui ra,0x80000 + 240: 00700113 li sp,7 + 244: 0020d0b3 srl ra,ra,sp + 248: 01000eb7 lui t4,0x1000 + 24c: 01600193 li gp,22 + 250: 33d09c63 bne ra,t4,588 -00000258 : - 258: 800000b7 lui ra,0x80000 - 25c: 00e00113 li sp,14 - 260: 0020d133 srl sp,ra,sp - 264: 00020eb7 lui t4,0x20 - 268: 01700193 li gp,23 - 26c: 33d11063 bne sp,t4,58c +00000254 : + 254: 800000b7 lui ra,0x80000 + 258: 00e00113 li sp,14 + 25c: 0020d133 srl sp,ra,sp + 260: 00020eb7 lui t4,0x20 + 264: 01700193 li gp,23 + 268: 33d11063 bne sp,t4,588 -00000270 : - 270: 00700093 li ra,7 - 274: 0010d0b3 srl ra,ra,ra - 278: 00000e93 li t4,0 - 27c: 01800193 li gp,24 - 280: 31d09663 bne ra,t4,58c +0000026c : + 26c: 00700093 li ra,7 + 270: 0010d0b3 srl ra,ra,ra + 274: 00000e93 li t4,0 + 278: 01800193 li gp,24 + 27c: 31d09663 bne ra,t4,588 -00000284 : - 284: 00000213 li tp,0 - 288: 800000b7 lui ra,0x80000 - 28c: 00700113 li sp,7 - 290: 0020df33 srl t5,ra,sp - 294: 000f0313 mv t1,t5 - 298: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 29c: 00200293 li t0,2 - 2a0: fe5214e3 bne tp,t0,288 - 2a4: 01000eb7 lui t4,0x1000 - 2a8: 01900193 li gp,25 - 2ac: 2fd31063 bne t1,t4,58c +00000280 : + 280: 00000213 li tp,0 + 284: 800000b7 lui ra,0x80000 + 288: 00700113 li sp,7 + 28c: 0020df33 srl t5,ra,sp + 290: 000f0313 mv t1,t5 + 294: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 298: 00200293 li t0,2 + 29c: fe5214e3 bne tp,t0,284 + 2a0: 01000eb7 lui t4,0x1000 + 2a4: 01900193 li gp,25 + 2a8: 2fd31063 bne t1,t4,588 -000002b0 : - 2b0: 00000213 li tp,0 - 2b4: 800000b7 lui ra,0x80000 - 2b8: 00e00113 li sp,14 - 2bc: 0020df33 srl t5,ra,sp - 2c0: 00000013 nop - 2c4: 000f0313 mv t1,t5 - 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2cc: 00200293 li t0,2 - 2d0: fe5212e3 bne tp,t0,2b4 - 2d4: 00020eb7 lui t4,0x20 - 2d8: 01a00193 li gp,26 - 2dc: 2bd31863 bne t1,t4,58c +000002ac : + 2ac: 00000213 li tp,0 + 2b0: 800000b7 lui ra,0x80000 + 2b4: 00e00113 li sp,14 + 2b8: 0020df33 srl t5,ra,sp + 2bc: 00000013 nop + 2c0: 000f0313 mv t1,t5 + 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c8: 00200293 li t0,2 + 2cc: fe5212e3 bne tp,t0,2b0 + 2d0: 00020eb7 lui t4,0x20 + 2d4: 01a00193 li gp,26 + 2d8: 2bd31863 bne t1,t4,588 -000002e0 : - 2e0: 00000213 li tp,0 - 2e4: 800000b7 lui ra,0x80000 - 2e8: 01f00113 li sp,31 - 2ec: 0020df33 srl t5,ra,sp +000002dc : + 2dc: 00000213 li tp,0 + 2e0: 800000b7 lui ra,0x80000 + 2e4: 01f00113 li sp,31 + 2e8: 0020df33 srl t5,ra,sp + 2ec: 00000013 nop 2f0: 00000013 nop - 2f4: 00000013 nop - 2f8: 000f0313 mv t1,t5 - 2fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 300: 00200293 li t0,2 - 304: fe5210e3 bne tp,t0,2e4 - 308: 00100e93 li t4,1 - 30c: 01b00193 li gp,27 - 310: 27d31e63 bne t1,t4,58c + 2f4: 000f0313 mv t1,t5 + 2f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2fc: 00200293 li t0,2 + 300: fe5210e3 bne tp,t0,2e0 + 304: 00100e93 li t4,1 + 308: 01b00193 li gp,27 + 30c: 27d31e63 bne t1,t4,588 -00000314 : - 314: 00000213 li tp,0 - 318: 800000b7 lui ra,0x80000 - 31c: 00700113 li sp,7 - 320: 0020df33 srl t5,ra,sp - 324: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 328: 00200293 li t0,2 - 32c: fe5216e3 bne tp,t0,318 - 330: 01000eb7 lui t4,0x1000 - 334: 01c00193 li gp,28 - 338: 25df1a63 bne t5,t4,58c +00000310 : + 310: 00000213 li tp,0 + 314: 800000b7 lui ra,0x80000 + 318: 00700113 li sp,7 + 31c: 0020df33 srl t5,ra,sp + 320: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 324: 00200293 li t0,2 + 328: fe5216e3 bne tp,t0,314 + 32c: 01000eb7 lui t4,0x1000 + 330: 01c00193 li gp,28 + 334: 25df1a63 bne t5,t4,588 -0000033c : - 33c: 00000213 li tp,0 - 340: 800000b7 lui ra,0x80000 - 344: 00e00113 li sp,14 - 348: 00000013 nop - 34c: 0020df33 srl t5,ra,sp - 350: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 354: 00200293 li t0,2 - 358: fe5214e3 bne tp,t0,340 - 35c: 00020eb7 lui t4,0x20 - 360: 01d00193 li gp,29 - 364: 23df1463 bne t5,t4,58c +00000338 : + 338: 00000213 li tp,0 + 33c: 800000b7 lui ra,0x80000 + 340: 00e00113 li sp,14 + 344: 00000013 nop + 348: 0020df33 srl t5,ra,sp + 34c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 350: 00200293 li t0,2 + 354: fe5214e3 bne tp,t0,33c + 358: 00020eb7 lui t4,0x20 + 35c: 01d00193 li gp,29 + 360: 23df1463 bne t5,t4,588 -00000368 : - 368: 00000213 li tp,0 - 36c: 800000b7 lui ra,0x80000 - 370: 01f00113 li sp,31 +00000364 : + 364: 00000213 li tp,0 + 368: 800000b7 lui ra,0x80000 + 36c: 01f00113 li sp,31 + 370: 00000013 nop 374: 00000013 nop - 378: 00000013 nop - 37c: 0020df33 srl t5,ra,sp - 380: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 384: 00200293 li t0,2 - 388: fe5212e3 bne tp,t0,36c - 38c: 00100e93 li t4,1 - 390: 01e00193 li gp,30 - 394: 1fdf1c63 bne t5,t4,58c + 378: 0020df33 srl t5,ra,sp + 37c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 380: 00200293 li t0,2 + 384: fe5212e3 bne tp,t0,368 + 388: 00100e93 li t4,1 + 38c: 01e00193 li gp,30 + 390: 1fdf1c63 bne t5,t4,588 -00000398 : - 398: 00000213 li tp,0 - 39c: 800000b7 lui ra,0x80000 - 3a0: 00000013 nop - 3a4: 00700113 li sp,7 - 3a8: 0020df33 srl t5,ra,sp - 3ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3b0: 00200293 li t0,2 - 3b4: fe5214e3 bne tp,t0,39c - 3b8: 01000eb7 lui t4,0x1000 - 3bc: 01f00193 li gp,31 - 3c0: 1ddf1663 bne t5,t4,58c +00000394 : + 394: 00000213 li tp,0 + 398: 800000b7 lui ra,0x80000 + 39c: 00000013 nop + 3a0: 00700113 li sp,7 + 3a4: 0020df33 srl t5,ra,sp + 3a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3ac: 00200293 li t0,2 + 3b0: fe5214e3 bne tp,t0,398 + 3b4: 01000eb7 lui t4,0x1000 + 3b8: 01f00193 li gp,31 + 3bc: 1ddf1663 bne t5,t4,588 -000003c4 : - 3c4: 00000213 li tp,0 - 3c8: 800000b7 lui ra,0x80000 - 3cc: 00000013 nop - 3d0: 00e00113 li sp,14 - 3d4: 00000013 nop - 3d8: 0020df33 srl t5,ra,sp - 3dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3e0: 00200293 li t0,2 - 3e4: fe5212e3 bne tp,t0,3c8 - 3e8: 00020eb7 lui t4,0x20 - 3ec: 02000193 li gp,32 - 3f0: 19df1e63 bne t5,t4,58c +000003c0 : + 3c0: 00000213 li tp,0 + 3c4: 800000b7 lui ra,0x80000 + 3c8: 00000013 nop + 3cc: 00e00113 li sp,14 + 3d0: 00000013 nop + 3d4: 0020df33 srl t5,ra,sp + 3d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3dc: 00200293 li t0,2 + 3e0: fe5212e3 bne tp,t0,3c4 + 3e4: 00020eb7 lui t4,0x20 + 3e8: 02000193 li gp,32 + 3ec: 19df1e63 bne t5,t4,588 -000003f4 : - 3f4: 00000213 li tp,0 - 3f8: 800000b7 lui ra,0x80000 +000003f0 : + 3f0: 00000213 li tp,0 + 3f4: 800000b7 lui ra,0x80000 + 3f8: 00000013 nop 3fc: 00000013 nop - 400: 00000013 nop - 404: 01f00113 li sp,31 - 408: 0020df33 srl t5,ra,sp - 40c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 410: 00200293 li t0,2 - 414: fe5212e3 bne tp,t0,3f8 - 418: 00100e93 li t4,1 - 41c: 02100193 li gp,33 - 420: 17df1663 bne t5,t4,58c + 400: 01f00113 li sp,31 + 404: 0020df33 srl t5,ra,sp + 408: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 40c: 00200293 li t0,2 + 410: fe5212e3 bne tp,t0,3f4 + 414: 00100e93 li t4,1 + 418: 02100193 li gp,33 + 41c: 17df1663 bne t5,t4,588 -00000424 : - 424: 00000213 li tp,0 - 428: 00700113 li sp,7 - 42c: 800000b7 lui ra,0x80000 - 430: 0020df33 srl t5,ra,sp - 434: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 438: 00200293 li t0,2 - 43c: fe5216e3 bne tp,t0,428 - 440: 01000eb7 lui t4,0x1000 - 444: 02200193 li gp,34 - 448: 15df1263 bne t5,t4,58c +00000420 : + 420: 00000213 li tp,0 + 424: 00700113 li sp,7 + 428: 800000b7 lui ra,0x80000 + 42c: 0020df33 srl t5,ra,sp + 430: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 434: 00200293 li t0,2 + 438: fe5216e3 bne tp,t0,424 + 43c: 01000eb7 lui t4,0x1000 + 440: 02200193 li gp,34 + 444: 15df1263 bne t5,t4,588 -0000044c : - 44c: 00000213 li tp,0 - 450: 00e00113 li sp,14 - 454: 800000b7 lui ra,0x80000 - 458: 00000013 nop - 45c: 0020df33 srl t5,ra,sp - 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 464: 00200293 li t0,2 - 468: fe5214e3 bne tp,t0,450 - 46c: 00020eb7 lui t4,0x20 - 470: 02300193 li gp,35 - 474: 11df1c63 bne t5,t4,58c +00000448 : + 448: 00000213 li tp,0 + 44c: 00e00113 li sp,14 + 450: 800000b7 lui ra,0x80000 + 454: 00000013 nop + 458: 0020df33 srl t5,ra,sp + 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 460: 00200293 li t0,2 + 464: fe5214e3 bne tp,t0,44c + 468: 00020eb7 lui t4,0x20 + 46c: 02300193 li gp,35 + 470: 11df1c63 bne t5,t4,588 -00000478 : - 478: 00000213 li tp,0 - 47c: 01f00113 li sp,31 - 480: 800000b7 lui ra,0x80000 +00000474 : + 474: 00000213 li tp,0 + 478: 01f00113 li sp,31 + 47c: 800000b7 lui ra,0x80000 + 480: 00000013 nop 484: 00000013 nop - 488: 00000013 nop - 48c: 0020df33 srl t5,ra,sp - 490: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 494: 00200293 li t0,2 - 498: fe5212e3 bne tp,t0,47c - 49c: 00100e93 li t4,1 - 4a0: 02400193 li gp,36 - 4a4: 0fdf1463 bne t5,t4,58c + 488: 0020df33 srl t5,ra,sp + 48c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 490: 00200293 li t0,2 + 494: fe5212e3 bne tp,t0,478 + 498: 00100e93 li t4,1 + 49c: 02400193 li gp,36 + 4a0: 0fdf1463 bne t5,t4,588 -000004a8 : - 4a8: 00000213 li tp,0 - 4ac: 00700113 li sp,7 - 4b0: 00000013 nop - 4b4: 800000b7 lui ra,0x80000 - 4b8: 0020df33 srl t5,ra,sp - 4bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 4c0: 00200293 li t0,2 - 4c4: fe5214e3 bne tp,t0,4ac - 4c8: 01000eb7 lui t4,0x1000 - 4cc: 02500193 li gp,37 - 4d0: 0bdf1e63 bne t5,t4,58c +000004a4 : + 4a4: 00000213 li tp,0 + 4a8: 00700113 li sp,7 + 4ac: 00000013 nop + 4b0: 800000b7 lui ra,0x80000 + 4b4: 0020df33 srl t5,ra,sp + 4b8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 4bc: 00200293 li t0,2 + 4c0: fe5214e3 bne tp,t0,4a8 + 4c4: 01000eb7 lui t4,0x1000 + 4c8: 02500193 li gp,37 + 4cc: 0bdf1e63 bne t5,t4,588 -000004d4 : - 4d4: 00000213 li tp,0 - 4d8: 00e00113 li sp,14 - 4dc: 00000013 nop - 4e0: 800000b7 lui ra,0x80000 - 4e4: 00000013 nop - 4e8: 0020df33 srl t5,ra,sp - 4ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 4f0: 00200293 li t0,2 - 4f4: fe5212e3 bne tp,t0,4d8 - 4f8: 00020eb7 lui t4,0x20 - 4fc: 02600193 li gp,38 - 500: 09df1663 bne t5,t4,58c +000004d0 : + 4d0: 00000213 li tp,0 + 4d4: 00e00113 li sp,14 + 4d8: 00000013 nop + 4dc: 800000b7 lui ra,0x80000 + 4e0: 00000013 nop + 4e4: 0020df33 srl t5,ra,sp + 4e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 4ec: 00200293 li t0,2 + 4f0: fe5212e3 bne tp,t0,4d4 + 4f4: 00020eb7 lui t4,0x20 + 4f8: 02600193 li gp,38 + 4fc: 09df1663 bne t5,t4,588 -00000504 : - 504: 00000213 li tp,0 - 508: 01f00113 li sp,31 +00000500 : + 500: 00000213 li tp,0 + 504: 01f00113 li sp,31 + 508: 00000013 nop 50c: 00000013 nop - 510: 00000013 nop - 514: 800000b7 lui ra,0x80000 - 518: 0020df33 srl t5,ra,sp - 51c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 520: 00200293 li t0,2 - 524: fe5212e3 bne tp,t0,508 - 528: 00100e93 li t4,1 - 52c: 02700193 li gp,39 - 530: 05df1e63 bne t5,t4,58c + 510: 800000b7 lui ra,0x80000 + 514: 0020df33 srl t5,ra,sp + 518: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 51c: 00200293 li t0,2 + 520: fe5212e3 bne tp,t0,504 + 524: 00100e93 li t4,1 + 528: 02700193 li gp,39 + 52c: 05df1e63 bne t5,t4,588 -00000534 : - 534: 00f00093 li ra,15 - 538: 00105133 srl sp,zero,ra - 53c: 00000e93 li t4,0 - 540: 02800193 li gp,40 - 544: 05d11463 bne sp,t4,58c +00000530 : + 530: 00f00093 li ra,15 + 534: 00105133 srl sp,zero,ra + 538: 00000e93 li t4,0 + 53c: 02800193 li gp,40 + 540: 05d11463 bne sp,t4,588 -00000548 : - 548: 02000093 li ra,32 - 54c: 0000d133 srl sp,ra,zero - 550: 02000e93 li t4,32 - 554: 02900193 li gp,41 - 558: 03d11a63 bne sp,t4,58c +00000544 : + 544: 02000093 li ra,32 + 548: 0000d133 srl sp,ra,zero + 54c: 02000e93 li t4,32 + 550: 02900193 li gp,41 + 554: 03d11a63 bne sp,t4,588 -0000055c : - 55c: 000050b3 srl ra,zero,zero - 560: 00000e93 li t4,0 - 564: 02a00193 li gp,42 - 568: 03d09263 bne ra,t4,58c +00000558 : + 558: 000050b3 srl ra,zero,zero + 55c: 00000e93 li t4,0 + 560: 02a00193 li gp,42 + 564: 03d09263 bne ra,t4,588 -0000056c : - 56c: 40000093 li ra,1024 - 570: 00001137 lui sp,0x1 - 574: 80010113 addi sp,sp,-2048 # 800 <_end+0x1b8> - 578: 0020d033 srl zero,ra,sp - 57c: 00000e93 li t4,0 - 580: 02b00193 li gp,43 - 584: 01d01463 bne zero,t4,58c - 588: 00301863 bne zero,gp,598 +00000568 : + 568: 40000093 li ra,1024 + 56c: 00001137 lui sp,0x1 + 570: 80010113 addi sp,sp,-2048 # 800 <_end+0x1b8> + 574: 0020d033 srl zero,ra,sp + 578: 00000e93 li t4,0 + 57c: 02b00193 li gp,43 + 580: 01d01463 bne zero,t4,588 + 584: 00301663 bne zero,gp,590 -0000058c : - 58c: 00000d93 li s11,0 - 590: 00100d13 li s10,1 +00000588 : + 588: 1000d073 csrwi sstatus,1 -00000594 : - 594: 0000006f j 594 +0000058c : + 58c: 0000006f j 58c -00000598 : - 598: 00100d93 li s11,1 - 59c: 00100d13 li s10,1 +00000590 : + 590: 1001d073 csrwi sstatus,3 -000005a0 : - 5a0: 0000006f j 5a0 +00000594 : + 594: 0000006f j 594 ... Disassembly of section .tohost: @@ -471,14 +468,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-srl.mem b/tests/isa/generated/rv32ui-p-srl.mem index e4c8a83..4443e97 100644 --- a/tests/isa/generated/rv32ui-p-srl.mem +++ b/tests/isa/generated/rv32ui-p-srl.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 800000b7 00000113 0020df33 @@ -352,12 +351,10 @@ fe5212e3 00000e93 02b00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -400,3 +397,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srl.verilog b/tests/isa/generated/rv32ui-p-srl.verilog index cbe240e..9eb64b7 100755 --- a/tests/isa/generated/rv32ui-p-srl.verilog +++ b/tests/isa/generated/rv32ui-p-srl.verilog @@ -1,95 +1,95 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 -33 DF 20 00 B7 0E 00 80 93 01 20 00 63 18 DF 57 -B7 00 00 80 13 01 10 00 33 DF 20 00 B7 0E 00 40 -93 01 30 00 63 1C DF 55 B7 00 00 80 13 01 70 00 -33 DF 20 00 B7 0E 00 01 93 01 40 00 63 10 DF 55 -B7 00 00 80 13 01 E0 00 33 DF 20 00 B7 0E 02 00 -93 01 50 00 63 14 DF 53 B7 00 00 80 93 80 10 00 -13 01 F0 01 33 DF 20 00 93 0E 10 00 93 01 60 00 -63 16 DF 51 93 00 F0 FF 13 01 00 00 33 DF 20 00 -93 0E F0 FF 93 01 70 00 63 1A DF 4F 93 00 F0 FF -13 01 10 00 33 DF 20 00 B7 0E 00 80 93 8E FE FF -93 01 80 00 63 1C DF 4D 93 00 F0 FF 13 01 70 00 -33 DF 20 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 -63 1E DF 4B 93 00 F0 FF 13 01 E0 00 33 DF 20 00 -B7 0E 04 00 93 8E FE FF 93 01 A0 00 63 10 DF 4B -93 00 F0 FF 13 01 F0 01 33 DF 20 00 93 0E 10 00 -93 01 B0 00 63 14 DF 49 B7 20 21 21 93 80 10 12 -13 01 00 00 33 DF 20 00 B7 2E 21 21 93 8E 1E 12 -93 01 C0 00 63 14 DF 47 B7 20 21 21 93 80 10 12 -13 01 10 00 33 DF 20 00 B7 9E 90 10 93 8E 0E 09 -93 01 D0 00 63 14 DF 45 B7 20 21 21 93 80 10 12 -13 01 70 00 33 DF 20 00 B7 4E 42 00 93 8E 2E 24 -93 01 E0 00 63 14 DF 43 B7 20 21 21 93 80 10 12 -13 01 E0 00 33 DF 20 00 B7 8E 00 00 93 8E 4E 48 -93 01 F0 00 63 14 DF 41 B7 20 21 21 93 80 10 12 -13 01 F0 01 33 DF 20 00 93 0E 00 00 93 01 00 01 -63 16 DF 3F B7 20 21 21 93 80 10 12 13 01 00 FC -33 DF 20 00 B7 2E 21 21 93 8E 1E 12 93 01 10 01 -63 16 DF 3D B7 20 21 21 93 80 10 12 13 01 10 FC -33 DF 20 00 B7 9E 90 10 93 8E 0E 09 93 01 20 01 -63 16 DF 3B B7 20 21 21 93 80 10 12 13 01 70 FC -33 DF 20 00 B7 4E 42 00 93 8E 2E 24 93 01 30 01 -63 16 DF 39 B7 20 21 21 93 80 10 12 13 01 E0 FC -33 DF 20 00 B7 8E 00 00 93 8E 4E 48 93 01 40 01 -63 16 DF 37 B7 20 21 21 93 80 10 12 13 01 F0 FF -33 DF 20 00 93 0E 00 00 93 01 50 01 63 18 DF 35 -B7 00 00 80 13 01 70 00 B3 D0 20 00 B7 0E 00 01 -93 01 60 01 63 9C D0 33 B7 00 00 80 13 01 E0 00 -33 D1 20 00 B7 0E 02 00 93 01 70 01 63 10 D1 33 -93 00 70 00 B3 D0 10 00 93 0E 00 00 93 01 80 01 -63 96 D0 31 13 02 00 00 B7 00 00 80 13 01 70 00 -33 DF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E 00 01 93 01 90 01 63 10 D3 2F -13 02 00 00 B7 00 00 80 13 01 E0 00 33 DF 20 00 +73 50 00 10 B7 00 00 80 13 01 00 00 33 DF 20 00 +B7 0E 00 80 93 01 20 00 63 18 DF 57 B7 00 00 80 +13 01 10 00 33 DF 20 00 B7 0E 00 40 93 01 30 00 +63 1C DF 55 B7 00 00 80 13 01 70 00 33 DF 20 00 +B7 0E 00 01 93 01 40 00 63 10 DF 55 B7 00 00 80 +13 01 E0 00 33 DF 20 00 B7 0E 02 00 93 01 50 00 +63 14 DF 53 B7 00 00 80 93 80 10 00 13 01 F0 01 +33 DF 20 00 93 0E 10 00 93 01 60 00 63 16 DF 51 +93 00 F0 FF 13 01 00 00 33 DF 20 00 93 0E F0 FF +93 01 70 00 63 1A DF 4F 93 00 F0 FF 13 01 10 00 +33 DF 20 00 B7 0E 00 80 93 8E FE FF 93 01 80 00 +63 1C DF 4D 93 00 F0 FF 13 01 70 00 33 DF 20 00 +B7 0E 00 02 93 8E FE FF 93 01 90 00 63 1E DF 4B +93 00 F0 FF 13 01 E0 00 33 DF 20 00 B7 0E 04 00 +93 8E FE FF 93 01 A0 00 63 10 DF 4B 93 00 F0 FF +13 01 F0 01 33 DF 20 00 93 0E 10 00 93 01 B0 00 +63 14 DF 49 B7 20 21 21 93 80 10 12 13 01 00 00 +33 DF 20 00 B7 2E 21 21 93 8E 1E 12 93 01 C0 00 +63 14 DF 47 B7 20 21 21 93 80 10 12 13 01 10 00 +33 DF 20 00 B7 9E 90 10 93 8E 0E 09 93 01 D0 00 +63 14 DF 45 B7 20 21 21 93 80 10 12 13 01 70 00 +33 DF 20 00 B7 4E 42 00 93 8E 2E 24 93 01 E0 00 +63 14 DF 43 B7 20 21 21 93 80 10 12 13 01 E0 00 +33 DF 20 00 B7 8E 00 00 93 8E 4E 48 93 01 F0 00 +63 14 DF 41 B7 20 21 21 93 80 10 12 13 01 F0 01 +33 DF 20 00 93 0E 00 00 93 01 00 01 63 16 DF 3F +B7 20 21 21 93 80 10 12 13 01 00 FC 33 DF 20 00 +B7 2E 21 21 93 8E 1E 12 93 01 10 01 63 16 DF 3D +B7 20 21 21 93 80 10 12 13 01 10 FC 33 DF 20 00 +B7 9E 90 10 93 8E 0E 09 93 01 20 01 63 16 DF 3B +B7 20 21 21 93 80 10 12 13 01 70 FC 33 DF 20 00 +B7 4E 42 00 93 8E 2E 24 93 01 30 01 63 16 DF 39 +B7 20 21 21 93 80 10 12 13 01 E0 FC 33 DF 20 00 +B7 8E 00 00 93 8E 4E 48 93 01 40 01 63 16 DF 37 +B7 20 21 21 93 80 10 12 13 01 F0 FF 33 DF 20 00 +93 0E 00 00 93 01 50 01 63 18 DF 35 B7 00 00 80 +13 01 70 00 B3 D0 20 00 B7 0E 00 01 93 01 60 01 +63 9C D0 33 B7 00 00 80 13 01 E0 00 33 D1 20 00 +B7 0E 02 00 93 01 70 01 63 10 D1 33 93 00 70 00 +B3 D0 10 00 93 0E 00 00 93 01 80 01 63 96 D0 31 +13 02 00 00 B7 00 00 80 13 01 70 00 33 DF 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E 00 01 93 01 90 01 63 10 D3 2F 13 02 00 00 +B7 00 00 80 13 01 E0 00 33 DF 20 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E 02 00 93 01 A0 01 63 18 D3 2B 13 02 00 00 +B7 00 00 80 13 01 F0 01 33 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 02 00 93 01 A0 01 63 18 D3 2B -13 02 00 00 B7 00 00 80 13 01 F0 01 33 DF 20 00 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 B0 01 -63 1E D3 27 13 02 00 00 B7 00 00 80 13 01 70 00 -33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 01 93 01 C0 01 63 1A DF 25 13 02 00 00 -B7 00 00 80 13 01 E0 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 -93 01 D0 01 63 14 DF 23 13 02 00 00 B7 00 00 80 -13 01 F0 01 13 00 00 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 -93 01 E0 01 63 1C DF 1F 13 02 00 00 B7 00 00 80 -13 00 00 00 13 01 70 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 F0 01 -63 16 DF 1D 13 02 00 00 B7 00 00 80 13 00 00 00 +E3 10 52 FE 93 0E 10 00 93 01 B0 01 63 1E D3 27 +13 02 00 00 B7 00 00 80 13 01 70 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E 00 01 +93 01 C0 01 63 1A DF 25 13 02 00 00 B7 00 00 80 13 01 E0 00 13 00 00 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 00 02 -63 1E DF 19 13 02 00 00 B7 00 00 80 13 00 00 00 -13 00 00 00 13 01 F0 01 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 10 02 -63 16 DF 17 13 02 00 00 13 01 70 00 B7 00 00 80 -33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 01 93 01 20 02 63 12 DF 15 13 02 00 00 -13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 -93 01 30 02 63 1C DF 11 13 02 00 00 13 01 F0 01 -B7 00 00 80 13 00 00 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 -93 01 40 02 63 14 DF 0F 13 02 00 00 13 01 70 00 -13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 50 02 -63 1E DF 0B 13 02 00 00 13 01 E0 00 13 00 00 00 +93 02 20 00 E3 14 52 FE B7 0E 02 00 93 01 D0 01 +63 14 DF 23 13 02 00 00 B7 00 00 80 13 01 F0 01 +13 00 00 00 13 00 00 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 E0 01 +63 1C DF 1F 13 02 00 00 B7 00 00 80 13 00 00 00 +13 01 70 00 33 DF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 00 01 93 01 F0 01 63 16 DF 1D +13 02 00 00 B7 00 00 80 13 00 00 00 13 01 E0 00 +13 00 00 00 33 DF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 02 00 93 01 00 02 63 1E DF 19 +13 02 00 00 B7 00 00 80 13 00 00 00 13 00 00 00 +13 01 F0 01 33 DF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 10 00 93 01 10 02 63 16 DF 17 +13 02 00 00 13 01 70 00 B7 00 00 80 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E 00 01 +93 01 20 02 63 12 DF 15 13 02 00 00 13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 60 02 -63 16 DF 09 13 02 00 00 13 01 F0 01 13 00 00 00 -13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 70 02 -63 1E DF 05 93 00 F0 00 33 51 10 00 93 0E 00 00 -93 01 80 02 63 14 D1 05 93 00 00 02 33 D1 00 00 -93 0E 00 02 93 01 90 02 63 1A D1 03 B3 50 00 00 -93 0E 00 00 93 01 A0 02 63 92 D0 03 93 00 00 40 -37 11 00 00 13 01 01 80 33 D0 20 00 93 0E 00 00 -93 01 B0 02 63 14 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +93 02 20 00 E3 14 52 FE B7 0E 02 00 93 01 30 02 +63 1C DF 11 13 02 00 00 13 01 F0 01 B7 00 00 80 +13 00 00 00 13 00 00 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 40 02 +63 14 DF 0F 13 02 00 00 13 01 70 00 13 00 00 00 +B7 00 00 80 33 DF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 00 01 93 01 50 02 63 1E DF 0B +13 02 00 00 13 01 E0 00 13 00 00 00 B7 00 00 80 +13 00 00 00 33 DF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 02 00 93 01 60 02 63 16 DF 09 +13 02 00 00 13 01 F0 01 13 00 00 00 13 00 00 00 +B7 00 00 80 33 DF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 10 00 93 01 70 02 63 1E DF 05 +93 00 F0 00 33 51 10 00 93 0E 00 00 93 01 80 02 +63 14 D1 05 93 00 00 02 33 D1 00 00 93 0E 00 02 +93 01 90 02 63 1A D1 03 B3 50 00 00 93 0E 00 00 +93 01 A0 02 63 92 D0 03 93 00 00 40 37 11 00 00 +13 01 01 80 33 D0 20 00 93 0E 00 00 93 01 B0 02 +63 14 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000600 diff --git a/tests/isa/generated/rv32ui-p-srli b/tests/isa/generated/rv32ui-p-srli index e2a2741df478b136d9547d0d3c94cb1bdf95a663..43ddbd7be9651de844be56b34cda2a10cba46be3 100755 GIT binary patch delta 576 zcmX?LaKvDO0;A4G#rym$#Q_Wgn>hsLG6{$oFce>45Xfg>0Md*C`4bbT%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$qm95^(;Uc2w-G531lo`LhwPlBw$8)M}YhlNc?j^{)Wk!qIqn=LAjnu oft&A&UT0*Ko4i$AnK5JXO>uR`8TRKm!Cb873CwmQ1ab%?4$Yrb9n(3D2Y};LFMKS&zN*)n6p?|x`*(pUy z3T?<~^cvYmZ<80%HS#jLO)iME%l(x7A}&5k2@m|^B6ibyubtU2)-jf!9#nPIGS1C i*{tOD`(KGSrBZfDyLRk3s%+oAjC!>Zol)O*L3_V?: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 800000b7 lui ra,0x80000 - c: 0000df13 srli t5,ra,0x0 - 10: 80000eb7 lui t4,0x80000 - 14: 00200193 li gp,2 - 18: 29df1863 bne t5,t4,2a8 +00000004 : + 4: 800000b7 lui ra,0x80000 + 8: 0000df13 srli t5,ra,0x0 + c: 80000eb7 lui t4,0x80000 + 10: 00200193 li gp,2 + 14: 29df1863 bne t5,t4,2a4 -0000001c : - 1c: 800000b7 lui ra,0x80000 - 20: 0010df13 srli t5,ra,0x1 - 24: 40000eb7 lui t4,0x40000 - 28: 00300193 li gp,3 - 2c: 27df1e63 bne t5,t4,2a8 +00000018 : + 18: 800000b7 lui ra,0x80000 + 1c: 0010df13 srli t5,ra,0x1 + 20: 40000eb7 lui t4,0x40000 + 24: 00300193 li gp,3 + 28: 27df1e63 bne t5,t4,2a4 -00000030 : - 30: 800000b7 lui ra,0x80000 - 34: 0070df13 srli t5,ra,0x7 - 38: 01000eb7 lui t4,0x1000 - 3c: 00400193 li gp,4 - 40: 27df1463 bne t5,t4,2a8 +0000002c : + 2c: 800000b7 lui ra,0x80000 + 30: 0070df13 srli t5,ra,0x7 + 34: 01000eb7 lui t4,0x1000 + 38: 00400193 li gp,4 + 3c: 27df1463 bne t5,t4,2a4 -00000044 : - 44: 800000b7 lui ra,0x80000 - 48: 00e0df13 srli t5,ra,0xe - 4c: 00020eb7 lui t4,0x20 - 50: 00500193 li gp,5 - 54: 25df1a63 bne t5,t4,2a8 +00000040 : + 40: 800000b7 lui ra,0x80000 + 44: 00e0df13 srli t5,ra,0xe + 48: 00020eb7 lui t4,0x20 + 4c: 00500193 li gp,5 + 50: 25df1a63 bne t5,t4,2a4 -00000058 : - 58: 800000b7 lui ra,0x80000 - 5c: 00108093 addi ra,ra,1 # 80000001 - 60: 01f0df13 srli t5,ra,0x1f - 64: 00100e93 li t4,1 - 68: 00600193 li gp,6 - 6c: 23df1e63 bne t5,t4,2a8 +00000054 : + 54: 800000b7 lui ra,0x80000 + 58: 00108093 addi ra,ra,1 # 80000001 + 5c: 01f0df13 srli t5,ra,0x1f + 60: 00100e93 li t4,1 + 64: 00600193 li gp,6 + 68: 23df1e63 bne t5,t4,2a4 -00000070 : - 70: fff00093 li ra,-1 - 74: 0000df13 srli t5,ra,0x0 - 78: fff00e93 li t4,-1 - 7c: 00700193 li gp,7 - 80: 23df1463 bne t5,t4,2a8 +0000006c : + 6c: fff00093 li ra,-1 + 70: 0000df13 srli t5,ra,0x0 + 74: fff00e93 li t4,-1 + 78: 00700193 li gp,7 + 7c: 23df1463 bne t5,t4,2a4 -00000084 : - 84: fff00093 li ra,-1 - 88: 0010df13 srli t5,ra,0x1 - 8c: 80000eb7 lui t4,0x80000 - 90: fffe8e93 addi t4,t4,-1 # 7fffffff - 94: 00800193 li gp,8 - 98: 21df1863 bne t5,t4,2a8 +00000080 : + 80: fff00093 li ra,-1 + 84: 0010df13 srli t5,ra,0x1 + 88: 80000eb7 lui t4,0x80000 + 8c: fffe8e93 addi t4,t4,-1 # 7fffffff + 90: 00800193 li gp,8 + 94: 21df1863 bne t5,t4,2a4 -0000009c : - 9c: fff00093 li ra,-1 - a0: 0070df13 srli t5,ra,0x7 - a4: 02000eb7 lui t4,0x2000 - a8: fffe8e93 addi t4,t4,-1 # 1ffffff - ac: 00900193 li gp,9 - b0: 1fdf1c63 bne t5,t4,2a8 +00000098 : + 98: fff00093 li ra,-1 + 9c: 0070df13 srli t5,ra,0x7 + a0: 02000eb7 lui t4,0x2000 + a4: fffe8e93 addi t4,t4,-1 # 1ffffff + a8: 00900193 li gp,9 + ac: 1fdf1c63 bne t5,t4,2a4 -000000b4 : - b4: fff00093 li ra,-1 - b8: 00e0df13 srli t5,ra,0xe - bc: 00040eb7 lui t4,0x40 - c0: fffe8e93 addi t4,t4,-1 # 3ffff - c4: 00a00193 li gp,10 - c8: 1fdf1063 bne t5,t4,2a8 +000000b0 : + b0: fff00093 li ra,-1 + b4: 00e0df13 srli t5,ra,0xe + b8: 00040eb7 lui t4,0x40 + bc: fffe8e93 addi t4,t4,-1 # 3ffff + c0: 00a00193 li gp,10 + c4: 1fdf1063 bne t5,t4,2a4 -000000cc : - cc: fff00093 li ra,-1 - d0: 01f0df13 srli t5,ra,0x1f - d4: 00100e93 li t4,1 - d8: 00b00193 li gp,11 - dc: 1ddf1663 bne t5,t4,2a8 +000000c8 : + c8: fff00093 li ra,-1 + cc: 01f0df13 srli t5,ra,0x1f + d0: 00100e93 li t4,1 + d4: 00b00193 li gp,11 + d8: 1ddf1663 bne t5,t4,2a4 -000000e0 : - e0: 212120b7 lui ra,0x21212 - e4: 12108093 addi ra,ra,289 # 21212121 - e8: 0000df13 srli t5,ra,0x0 - ec: 21212eb7 lui t4,0x21212 - f0: 121e8e93 addi t4,t4,289 # 21212121 - f4: 00c00193 li gp,12 - f8: 1bdf1863 bne t5,t4,2a8 +000000dc : + dc: 212120b7 lui ra,0x21212 + e0: 12108093 addi ra,ra,289 # 21212121 + e4: 0000df13 srli t5,ra,0x0 + e8: 21212eb7 lui t4,0x21212 + ec: 121e8e93 addi t4,t4,289 # 21212121 + f0: 00c00193 li gp,12 + f4: 1bdf1863 bne t5,t4,2a4 -000000fc : - fc: 212120b7 lui ra,0x21212 - 100: 12108093 addi ra,ra,289 # 21212121 - 104: 0010df13 srli t5,ra,0x1 - 108: 10909eb7 lui t4,0x10909 - 10c: 090e8e93 addi t4,t4,144 # 10909090 - 110: 00d00193 li gp,13 - 114: 19df1a63 bne t5,t4,2a8 +000000f8 : + f8: 212120b7 lui ra,0x21212 + fc: 12108093 addi ra,ra,289 # 21212121 + 100: 0010df13 srli t5,ra,0x1 + 104: 10909eb7 lui t4,0x10909 + 108: 090e8e93 addi t4,t4,144 # 10909090 + 10c: 00d00193 li gp,13 + 110: 19df1a63 bne t5,t4,2a4 -00000118 : - 118: 212120b7 lui ra,0x21212 - 11c: 12108093 addi ra,ra,289 # 21212121 - 120: 0070df13 srli t5,ra,0x7 - 124: 00424eb7 lui t4,0x424 - 128: 242e8e93 addi t4,t4,578 # 424242 - 12c: 00e00193 li gp,14 - 130: 17df1c63 bne t5,t4,2a8 +00000114 : + 114: 212120b7 lui ra,0x21212 + 118: 12108093 addi ra,ra,289 # 21212121 + 11c: 0070df13 srli t5,ra,0x7 + 120: 00424eb7 lui t4,0x424 + 124: 242e8e93 addi t4,t4,578 # 424242 + 128: 00e00193 li gp,14 + 12c: 17df1c63 bne t5,t4,2a4 -00000134 : - 134: 212120b7 lui ra,0x21212 - 138: 12108093 addi ra,ra,289 # 21212121 - 13c: 00e0df13 srli t5,ra,0xe - 140: 00008eb7 lui t4,0x8 - 144: 484e8e93 addi t4,t4,1156 # 8484 - 148: 00f00193 li gp,15 - 14c: 15df1e63 bne t5,t4,2a8 +00000130 : + 130: 212120b7 lui ra,0x21212 + 134: 12108093 addi ra,ra,289 # 21212121 + 138: 00e0df13 srli t5,ra,0xe + 13c: 00008eb7 lui t4,0x8 + 140: 484e8e93 addi t4,t4,1156 # 8484 + 144: 00f00193 li gp,15 + 148: 15df1e63 bne t5,t4,2a4 -00000150 : - 150: 212120b7 lui ra,0x21212 - 154: 12108093 addi ra,ra,289 # 21212121 - 158: 01f0df13 srli t5,ra,0x1f - 15c: 00000e93 li t4,0 - 160: 01000193 li gp,16 - 164: 15df1263 bne t5,t4,2a8 +0000014c : + 14c: 212120b7 lui ra,0x21212 + 150: 12108093 addi ra,ra,289 # 21212121 + 154: 01f0df13 srli t5,ra,0x1f + 158: 00000e93 li t4,0 + 15c: 01000193 li gp,16 + 160: 15df1263 bne t5,t4,2a4 -00000168 : - 168: 800000b7 lui ra,0x80000 - 16c: 0070d093 srli ra,ra,0x7 - 170: 01000eb7 lui t4,0x1000 - 174: 01100193 li gp,17 - 178: 13d09863 bne ra,t4,2a8 +00000164 : + 164: 800000b7 lui ra,0x80000 + 168: 0070d093 srli ra,ra,0x7 + 16c: 01000eb7 lui t4,0x1000 + 170: 01100193 li gp,17 + 174: 13d09863 bne ra,t4,2a4 -0000017c : - 17c: 00000213 li tp,0 - 180: 800000b7 lui ra,0x80000 - 184: 0070df13 srli t5,ra,0x7 - 188: 000f0313 mv t1,t5 - 18c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 190: 00200293 li t0,2 - 194: fe5216e3 bne tp,t0,180 - 198: 01000eb7 lui t4,0x1000 - 19c: 01200193 li gp,18 - 1a0: 11d31463 bne t1,t4,2a8 +00000178 : + 178: 00000213 li tp,0 + 17c: 800000b7 lui ra,0x80000 + 180: 0070df13 srli t5,ra,0x7 + 184: 000f0313 mv t1,t5 + 188: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 18c: 00200293 li t0,2 + 190: fe5216e3 bne tp,t0,17c + 194: 01000eb7 lui t4,0x1000 + 198: 01200193 li gp,18 + 19c: 11d31463 bne t1,t4,2a4 -000001a4 : - 1a4: 00000213 li tp,0 - 1a8: 800000b7 lui ra,0x80000 - 1ac: 00e0df13 srli t5,ra,0xe - 1b0: 00000013 nop - 1b4: 000f0313 mv t1,t5 - 1b8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1bc: 00200293 li t0,2 - 1c0: fe5214e3 bne tp,t0,1a8 - 1c4: 00020eb7 lui t4,0x20 - 1c8: 01300193 li gp,19 - 1cc: 0dd31e63 bne t1,t4,2a8 +000001a0 : + 1a0: 00000213 li tp,0 + 1a4: 800000b7 lui ra,0x80000 + 1a8: 00e0df13 srli t5,ra,0xe + 1ac: 00000013 nop + 1b0: 000f0313 mv t1,t5 + 1b4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1b8: 00200293 li t0,2 + 1bc: fe5214e3 bne tp,t0,1a4 + 1c0: 00020eb7 lui t4,0x20 + 1c4: 01300193 li gp,19 + 1c8: 0dd31e63 bne t1,t4,2a4 -000001d0 : - 1d0: 00000213 li tp,0 - 1d4: 800000b7 lui ra,0x80000 - 1d8: 00108093 addi ra,ra,1 # 80000001 - 1dc: 01f0df13 srli t5,ra,0x1f +000001cc : + 1cc: 00000213 li tp,0 + 1d0: 800000b7 lui ra,0x80000 + 1d4: 00108093 addi ra,ra,1 # 80000001 + 1d8: 01f0df13 srli t5,ra,0x1f + 1dc: 00000013 nop 1e0: 00000013 nop - 1e4: 00000013 nop - 1e8: 000f0313 mv t1,t5 - 1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1f0: 00200293 li t0,2 - 1f4: fe5210e3 bne tp,t0,1d4 - 1f8: 00100e93 li t4,1 - 1fc: 01400193 li gp,20 - 200: 0bd31463 bne t1,t4,2a8 + 1e4: 000f0313 mv t1,t5 + 1e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1ec: 00200293 li t0,2 + 1f0: fe5210e3 bne tp,t0,1d0 + 1f4: 00100e93 li t4,1 + 1f8: 01400193 li gp,20 + 1fc: 0bd31463 bne t1,t4,2a4 -00000204 : - 204: 00000213 li tp,0 - 208: 800000b7 lui ra,0x80000 - 20c: 0070df13 srli t5,ra,0x7 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5218e3 bne tp,t0,208 - 21c: 01000eb7 lui t4,0x1000 - 220: 01500193 li gp,21 - 224: 09df1263 bne t5,t4,2a8 +00000200 : + 200: 00000213 li tp,0 + 204: 800000b7 lui ra,0x80000 + 208: 0070df13 srli t5,ra,0x7 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5218e3 bne tp,t0,204 + 218: 01000eb7 lui t4,0x1000 + 21c: 01500193 li gp,21 + 220: 09df1263 bne t5,t4,2a4 -00000228 : - 228: 00000213 li tp,0 - 22c: 800000b7 lui ra,0x80000 - 230: 00000013 nop - 234: 00e0df13 srli t5,ra,0xe - 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 23c: 00200293 li t0,2 - 240: fe5216e3 bne tp,t0,22c - 244: 00020eb7 lui t4,0x20 - 248: 01600193 li gp,22 - 24c: 05df1e63 bne t5,t4,2a8 +00000224 : + 224: 00000213 li tp,0 + 228: 800000b7 lui ra,0x80000 + 22c: 00000013 nop + 230: 00e0df13 srli t5,ra,0xe + 234: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 238: 00200293 li t0,2 + 23c: fe5216e3 bne tp,t0,228 + 240: 00020eb7 lui t4,0x20 + 244: 01600193 li gp,22 + 248: 05df1e63 bne t5,t4,2a4 -00000250 : - 250: 00000213 li tp,0 - 254: 800000b7 lui ra,0x80000 - 258: 00108093 addi ra,ra,1 # 80000001 +0000024c : + 24c: 00000213 li tp,0 + 250: 800000b7 lui ra,0x80000 + 254: 00108093 addi ra,ra,1 # 80000001 + 258: 00000013 nop 25c: 00000013 nop - 260: 00000013 nop - 264: 01f0df13 srli t5,ra,0x1f - 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 26c: 00200293 li t0,2 - 270: fe5212e3 bne tp,t0,254 - 274: 00100e93 li t4,1 - 278: 01700193 li gp,23 - 27c: 03df1663 bne t5,t4,2a8 + 260: 01f0df13 srli t5,ra,0x1f + 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 268: 00200293 li t0,2 + 26c: fe5212e3 bne tp,t0,250 + 270: 00100e93 li t4,1 + 274: 01700193 li gp,23 + 278: 03df1663 bne t5,t4,2a4 -00000280 : - 280: 00405093 srli ra,zero,0x4 - 284: 00000e93 li t4,0 - 288: 01800193 li gp,24 - 28c: 01d09e63 bne ra,t4,2a8 +0000027c : + 27c: 00405093 srli ra,zero,0x4 + 280: 00000e93 li t4,0 + 284: 01800193 li gp,24 + 288: 01d09e63 bne ra,t4,2a4 -00000290 : - 290: 02100093 li ra,33 - 294: 00a0d013 srli zero,ra,0xa - 298: 00000e93 li t4,0 - 29c: 01900193 li gp,25 - 2a0: 01d01463 bne zero,t4,2a8 - 2a4: 00301863 bne zero,gp,2b4 +0000028c : + 28c: 02100093 li ra,33 + 290: 00a0d013 srli zero,ra,0xa + 294: 00000e93 li t4,0 + 298: 01900193 li gp,25 + 29c: 01d01463 bne zero,t4,2a4 + 2a0: 00301663 bne zero,gp,2ac -000002a8 : - 2a8: 00000d93 li s11,0 - 2ac: 00100d13 li s10,1 +000002a4 : + 2a4: 1000d073 csrwi sstatus,1 -000002b0 : - 2b0: 0000006f j 2b0 +000002a8 : + 2a8: 0000006f j 2a8 -000002b4 : - 2b4: 00100d93 li s11,1 - 2b8: 00100d13 li s10,1 +000002ac : + 2ac: 1001d073 csrwi sstatus,3 -000002bc : - 2bc: 0000006f j 2bc - 2c0: 0000 unimp +000002b0 : + 2b0: 0000006f j 2b0 ... Disassembly of section .tohost: @@ -251,14 +247,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-srli.mem b/tests/isa/generated/rv32ui-p-srli.mem index 6f772a2..0f28468 100644 --- a/tests/isa/generated/rv32ui-p-srli.mem +++ b/tests/isa/generated/rv32ui-p-srli.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 800000b7 0000df13 80000eb7 @@ -167,12 +166,10 @@ fe5212e3 00000e93 01900193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -208,3 +205,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srli.verilog b/tests/isa/generated/rv32ui-p-srli.verilog index 522b3a9..5ad0e4d 100755 --- a/tests/isa/generated/rv32ui-p-srli.verilog +++ b/tests/isa/generated/rv32ui-p-srli.verilog @@ -1,48 +1,48 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 DF 00 00 -B7 0E 00 80 93 01 20 00 63 18 DF 29 B7 00 00 80 -13 DF 10 00 B7 0E 00 40 93 01 30 00 63 1E DF 27 -B7 00 00 80 13 DF 70 00 B7 0E 00 01 93 01 40 00 -63 14 DF 27 B7 00 00 80 13 DF E0 00 B7 0E 02 00 -93 01 50 00 63 1A DF 25 B7 00 00 80 93 80 10 00 -13 DF F0 01 93 0E 10 00 93 01 60 00 63 1E DF 23 -93 00 F0 FF 13 DF 00 00 93 0E F0 FF 93 01 70 00 -63 14 DF 23 93 00 F0 FF 13 DF 10 00 B7 0E 00 80 -93 8E FE FF 93 01 80 00 63 18 DF 21 93 00 F0 FF -13 DF 70 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 -63 1C DF 1F 93 00 F0 FF 13 DF E0 00 B7 0E 04 00 -93 8E FE FF 93 01 A0 00 63 10 DF 1F 93 00 F0 FF -13 DF F0 01 93 0E 10 00 93 01 B0 00 63 16 DF 1D -B7 20 21 21 93 80 10 12 13 DF 00 00 B7 2E 21 21 -93 8E 1E 12 93 01 C0 00 63 18 DF 1B B7 20 21 21 -93 80 10 12 13 DF 10 00 B7 9E 90 10 93 8E 0E 09 -93 01 D0 00 63 1A DF 19 B7 20 21 21 93 80 10 12 -13 DF 70 00 B7 4E 42 00 93 8E 2E 24 93 01 E0 00 -63 1C DF 17 B7 20 21 21 93 80 10 12 13 DF E0 00 -B7 8E 00 00 93 8E 4E 48 93 01 F0 00 63 1E DF 15 -B7 20 21 21 93 80 10 12 13 DF F0 01 93 0E 00 00 -93 01 00 01 63 12 DF 15 B7 00 00 80 93 D0 70 00 -B7 0E 00 01 93 01 10 01 63 98 D0 13 13 02 00 00 -B7 00 00 80 13 DF 70 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 01 93 01 20 01 -63 14 D3 11 13 02 00 00 B7 00 00 80 13 DF E0 00 +73 50 00 10 B7 00 00 80 13 DF 00 00 B7 0E 00 80 +93 01 20 00 63 18 DF 29 B7 00 00 80 13 DF 10 00 +B7 0E 00 40 93 01 30 00 63 1E DF 27 B7 00 00 80 +13 DF 70 00 B7 0E 00 01 93 01 40 00 63 14 DF 27 +B7 00 00 80 13 DF E0 00 B7 0E 02 00 93 01 50 00 +63 1A DF 25 B7 00 00 80 93 80 10 00 13 DF F0 01 +93 0E 10 00 93 01 60 00 63 1E DF 23 93 00 F0 FF +13 DF 00 00 93 0E F0 FF 93 01 70 00 63 14 DF 23 +93 00 F0 FF 13 DF 10 00 B7 0E 00 80 93 8E FE FF +93 01 80 00 63 18 DF 21 93 00 F0 FF 13 DF 70 00 +B7 0E 00 02 93 8E FE FF 93 01 90 00 63 1C DF 1F +93 00 F0 FF 13 DF E0 00 B7 0E 04 00 93 8E FE FF +93 01 A0 00 63 10 DF 1F 93 00 F0 FF 13 DF F0 01 +93 0E 10 00 93 01 B0 00 63 16 DF 1D B7 20 21 21 +93 80 10 12 13 DF 00 00 B7 2E 21 21 93 8E 1E 12 +93 01 C0 00 63 18 DF 1B B7 20 21 21 93 80 10 12 +13 DF 10 00 B7 9E 90 10 93 8E 0E 09 93 01 D0 00 +63 1A DF 19 B7 20 21 21 93 80 10 12 13 DF 70 00 +B7 4E 42 00 93 8E 2E 24 93 01 E0 00 63 1C DF 17 +B7 20 21 21 93 80 10 12 13 DF E0 00 B7 8E 00 00 +93 8E 4E 48 93 01 F0 00 63 1E DF 15 B7 20 21 21 +93 80 10 12 13 DF F0 01 93 0E 00 00 93 01 00 01 +63 12 DF 15 B7 00 00 80 93 D0 70 00 B7 0E 00 01 +93 01 10 01 63 98 D0 13 13 02 00 00 B7 00 00 80 +13 DF 70 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 16 52 FE B7 0E 00 01 93 01 20 01 63 14 D3 11 +13 02 00 00 B7 00 00 80 13 DF E0 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E 02 00 93 01 30 01 63 1E D3 0D 13 02 00 00 +B7 00 00 80 93 80 10 00 13 DF F0 01 13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E 02 00 93 01 30 01 63 1E D3 0D -13 02 00 00 B7 00 00 80 93 80 10 00 13 DF F0 01 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 40 01 -63 14 D3 0B 13 02 00 00 B7 00 00 80 13 DF 70 00 -13 02 12 00 93 02 20 00 E3 18 52 FE B7 0E 00 01 -93 01 50 01 63 12 DF 09 13 02 00 00 B7 00 00 80 -13 00 00 00 13 DF E0 00 13 02 12 00 93 02 20 00 -E3 16 52 FE B7 0E 02 00 93 01 60 01 63 1E DF 05 -13 02 00 00 B7 00 00 80 93 80 10 00 13 00 00 00 -13 00 00 00 13 DF F0 01 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 10 00 93 01 70 01 63 16 DF 03 -93 50 40 00 93 0E 00 00 93 01 80 01 63 9E D0 01 -93 00 10 02 13 D0 A0 00 93 0E 00 00 93 01 90 01 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +E3 10 52 FE 93 0E 10 00 93 01 40 01 63 14 D3 0B +13 02 00 00 B7 00 00 80 13 DF 70 00 13 02 12 00 +93 02 20 00 E3 18 52 FE B7 0E 00 01 93 01 50 01 +63 12 DF 09 13 02 00 00 B7 00 00 80 13 00 00 00 +13 DF E0 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 02 00 93 01 60 01 63 1E DF 05 13 02 00 00 +B7 00 00 80 93 80 10 00 13 00 00 00 13 00 00 00 +13 DF F0 01 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 70 01 63 16 DF 03 93 50 40 00 +93 0E 00 00 93 01 80 01 63 9E D0 01 93 00 10 02 +13 D0 A0 00 93 0E 00 00 93 01 90 01 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sub b/tests/isa/generated/rv32ui-p-sub index 7929731bd1fa2e932b8e9a7ecdbca6003325a6f5..ce02ee54a87197f40b1aef778a9932c85cf9e29f 100755 GIT binary patch delta 779 zcmYk)KS%;m90%~5BL@+BoRf<1(b{C;c(m2bCnnfJXWP^cZAng~snw%=dJ!aFfmDjK`DkW=5 zr1jTOV%_EbT^1z^$RV;9IZ9qdPLMZ{bL36rGI>WNFAZw!A$Q5v!w2L;4NAot-Oc>wDghG8kme<4Juq2cY)^B&$*A^E;2gr&!I>7 E1{Ezwg#Z8m delta 771 zcmYk%F-QV&7zOa}Z&x@Z9%yuGkU~&FlxU=jLm*8N297O~fr3z&*dplA;9!tkgM&kZ zLy$vZgF|f&4Gj$r4nhPC4Gj$q4Gq0c!uP>_|Mz=$aCfc9W#rtis<(j`r`_ccF>!S? z{XsK~paqvhmqYoxp^KI4scX-s2TeYEyk8XMM4ZeQ!=Y^GC|FO;o4uK6*^Y8FN|u$# zqc*k+(f?0T;zf><1IP*T5^{#ThFl?UAUDV{k+O8Dh$9ck+sNPKB(i~z9F3AageW-; zC&)QCLoUD-atZI31{J4x;D%g-d*loFliY;;HY{tDv~VWLZMa0fgRjW<{9 delta 45 rcmeC+?%?JS=4D`*48$8*_Ogge7=YQryaEjQ3?Oj<1`vDl1(r1cuDb{J diff --git a/tests/isa/generated/rv32ui-p-sub.dump b/tests/isa/generated/rv32ui-p-sub.dump index 5902c74..2fa210f 100644 --- a/tests/isa/generated/rv32ui-p-sub.dump +++ b/tests/isa/generated/rv32ui-p-sub.dump @@ -5,398 +5,395 @@ generated/rv32ui-p-sub: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 40208f33 sub t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4bdf1663 bne t5,t4,4c8 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 40208f33 sub t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4bdf1663 bne t5,t4,4c4 -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 40208f33 sub t5,ra,sp - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 49df1a63 bne t5,t4,4c8 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 40208f33 sub t5,ra,sp + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 49df1a63 bne t5,t4,4c4 -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 40208f33 sub t5,ra,sp - 44: ffc00e93 li t4,-4 - 48: 00400193 li gp,4 - 4c: 47df1e63 bne t5,t4,4c8 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 40208f33 sub t5,ra,sp + 40: ffc00e93 li t4,-4 + 44: 00400193 li gp,4 + 48: 47df1e63 bne t5,t4,4c4 -00000050 : - 50: 00000093 li ra,0 - 54: ffff8137 lui sp,0xffff8 - 58: 40208f33 sub t5,ra,sp - 5c: 00008eb7 lui t4,0x8 - 60: 00500193 li gp,5 - 64: 47df1263 bne t5,t4,4c8 +0000004c : + 4c: 00000093 li ra,0 + 50: ffff8137 lui sp,0xffff8 + 54: 40208f33 sub t5,ra,sp + 58: 00008eb7 lui t4,0x8 + 5c: 00500193 li gp,5 + 60: 47df1263 bne t5,t4,4c4 -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00000113 li sp,0 - 70: 40208f33 sub t5,ra,sp - 74: 80000eb7 lui t4,0x80000 - 78: 00600193 li gp,6 - 7c: 45df1663 bne t5,t4,4c8 +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00000113 li sp,0 + 6c: 40208f33 sub t5,ra,sp + 70: 80000eb7 lui t4,0x80000 + 74: 00600193 li gp,6 + 78: 45df1663 bne t5,t4,4c4 -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: ffff8137 lui sp,0xffff8 - 88: 40208f33 sub t5,ra,sp - 8c: 80008eb7 lui t4,0x80008 - 90: 00700193 li gp,7 - 94: 43df1a63 bne t5,t4,4c8 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: ffff8137 lui sp,0xffff8 + 84: 40208f33 sub t5,ra,sp + 88: 80008eb7 lui t4,0x80008 + 8c: 00700193 li gp,7 + 90: 43df1a63 bne t5,t4,4c4 -00000098 : - 98: 00000093 li ra,0 - 9c: 00008137 lui sp,0x8 - a0: fff10113 addi sp,sp,-1 # 7fff - a4: 40208f33 sub t5,ra,sp - a8: ffff8eb7 lui t4,0xffff8 - ac: 001e8e93 addi t4,t4,1 # ffff8001 - b0: 00800193 li gp,8 - b4: 41df1a63 bne t5,t4,4c8 +00000094 : + 94: 00000093 li ra,0 + 98: 00008137 lui sp,0x8 + 9c: fff10113 addi sp,sp,-1 # 7fff + a0: 40208f33 sub t5,ra,sp + a4: ffff8eb7 lui t4,0xffff8 + a8: 001e8e93 addi t4,t4,1 # ffff8001 + ac: 00800193 li gp,8 + b0: 41df1a63 bne t5,t4,4c4 -000000b8 : - b8: 800000b7 lui ra,0x80000 - bc: fff08093 addi ra,ra,-1 # 7fffffff - c0: 00000113 li sp,0 - c4: 40208f33 sub t5,ra,sp - c8: 80000eb7 lui t4,0x80000 - cc: fffe8e93 addi t4,t4,-1 # 7fffffff - d0: 00900193 li gp,9 - d4: 3fdf1a63 bne t5,t4,4c8 +000000b4 : + b4: 800000b7 lui ra,0x80000 + b8: fff08093 addi ra,ra,-1 # 7fffffff + bc: 00000113 li sp,0 + c0: 40208f33 sub t5,ra,sp + c4: 80000eb7 lui t4,0x80000 + c8: fffe8e93 addi t4,t4,-1 # 7fffffff + cc: 00900193 li gp,9 + d0: 3fdf1a63 bne t5,t4,4c4 -000000d8 : - d8: 800000b7 lui ra,0x80000 - dc: fff08093 addi ra,ra,-1 # 7fffffff - e0: 00008137 lui sp,0x8 - e4: fff10113 addi sp,sp,-1 # 7fff - e8: 40208f33 sub t5,ra,sp - ec: 7fff8eb7 lui t4,0x7fff8 - f0: 00a00193 li gp,10 - f4: 3ddf1a63 bne t5,t4,4c8 +000000d4 : + d4: 800000b7 lui ra,0x80000 + d8: fff08093 addi ra,ra,-1 # 7fffffff + dc: 00008137 lui sp,0x8 + e0: fff10113 addi sp,sp,-1 # 7fff + e4: 40208f33 sub t5,ra,sp + e8: 7fff8eb7 lui t4,0x7fff8 + ec: 00a00193 li gp,10 + f0: 3ddf1a63 bne t5,t4,4c4 -000000f8 : - f8: 800000b7 lui ra,0x80000 - fc: 00008137 lui sp,0x8 - 100: fff10113 addi sp,sp,-1 # 7fff - 104: 40208f33 sub t5,ra,sp - 108: 7fff8eb7 lui t4,0x7fff8 - 10c: 001e8e93 addi t4,t4,1 # 7fff8001 - 110: 00b00193 li gp,11 - 114: 3bdf1a63 bne t5,t4,4c8 +000000f4 : + f4: 800000b7 lui ra,0x80000 + f8: 00008137 lui sp,0x8 + fc: fff10113 addi sp,sp,-1 # 7fff + 100: 40208f33 sub t5,ra,sp + 104: 7fff8eb7 lui t4,0x7fff8 + 108: 001e8e93 addi t4,t4,1 # 7fff8001 + 10c: 00b00193 li gp,11 + 110: 3bdf1a63 bne t5,t4,4c4 -00000118 : - 118: 800000b7 lui ra,0x80000 - 11c: fff08093 addi ra,ra,-1 # 7fffffff - 120: ffff8137 lui sp,0xffff8 - 124: 40208f33 sub t5,ra,sp - 128: 80008eb7 lui t4,0x80008 - 12c: fffe8e93 addi t4,t4,-1 # 80007fff - 130: 00c00193 li gp,12 - 134: 39df1a63 bne t5,t4,4c8 +00000114 : + 114: 800000b7 lui ra,0x80000 + 118: fff08093 addi ra,ra,-1 # 7fffffff + 11c: ffff8137 lui sp,0xffff8 + 120: 40208f33 sub t5,ra,sp + 124: 80008eb7 lui t4,0x80008 + 128: fffe8e93 addi t4,t4,-1 # 80007fff + 12c: 00c00193 li gp,12 + 130: 39df1a63 bne t5,t4,4c4 -00000138 : - 138: 00000093 li ra,0 - 13c: fff00113 li sp,-1 - 140: 40208f33 sub t5,ra,sp - 144: 00100e93 li t4,1 - 148: 00d00193 li gp,13 - 14c: 37df1e63 bne t5,t4,4c8 +00000134 : + 134: 00000093 li ra,0 + 138: fff00113 li sp,-1 + 13c: 40208f33 sub t5,ra,sp + 140: 00100e93 li t4,1 + 144: 00d00193 li gp,13 + 148: 37df1e63 bne t5,t4,4c4 -00000150 : - 150: fff00093 li ra,-1 - 154: 00100113 li sp,1 - 158: 40208f33 sub t5,ra,sp - 15c: ffe00e93 li t4,-2 - 160: 00e00193 li gp,14 - 164: 37df1263 bne t5,t4,4c8 +0000014c : + 14c: fff00093 li ra,-1 + 150: 00100113 li sp,1 + 154: 40208f33 sub t5,ra,sp + 158: ffe00e93 li t4,-2 + 15c: 00e00193 li gp,14 + 160: 37df1263 bne t5,t4,4c4 -00000168 : - 168: fff00093 li ra,-1 - 16c: fff00113 li sp,-1 - 170: 40208f33 sub t5,ra,sp - 174: 00000e93 li t4,0 - 178: 00f00193 li gp,15 - 17c: 35df1663 bne t5,t4,4c8 +00000164 : + 164: fff00093 li ra,-1 + 168: fff00113 li sp,-1 + 16c: 40208f33 sub t5,ra,sp + 170: 00000e93 li t4,0 + 174: 00f00193 li gp,15 + 178: 35df1663 bne t5,t4,4c4 -00000180 : - 180: 00d00093 li ra,13 - 184: 00b00113 li sp,11 - 188: 402080b3 sub ra,ra,sp - 18c: 00200e93 li t4,2 - 190: 01000193 li gp,16 - 194: 33d09a63 bne ra,t4,4c8 +0000017c : + 17c: 00d00093 li ra,13 + 180: 00b00113 li sp,11 + 184: 402080b3 sub ra,ra,sp + 188: 00200e93 li t4,2 + 18c: 01000193 li gp,16 + 190: 33d09a63 bne ra,t4,4c4 -00000198 : - 198: 00e00093 li ra,14 - 19c: 00b00113 li sp,11 - 1a0: 40208133 sub sp,ra,sp - 1a4: 00300e93 li t4,3 - 1a8: 01100193 li gp,17 - 1ac: 31d11e63 bne sp,t4,4c8 +00000194 : + 194: 00e00093 li ra,14 + 198: 00b00113 li sp,11 + 19c: 40208133 sub sp,ra,sp + 1a0: 00300e93 li t4,3 + 1a4: 01100193 li gp,17 + 1a8: 31d11e63 bne sp,t4,4c4 -000001b0 : - 1b0: 00d00093 li ra,13 - 1b4: 401080b3 sub ra,ra,ra - 1b8: 00000e93 li t4,0 - 1bc: 01200193 li gp,18 - 1c0: 31d09463 bne ra,t4,4c8 +000001ac : + 1ac: 00d00093 li ra,13 + 1b0: 401080b3 sub ra,ra,ra + 1b4: 00000e93 li t4,0 + 1b8: 01200193 li gp,18 + 1bc: 31d09463 bne ra,t4,4c4 -000001c4 : - 1c4: 00000213 li tp,0 - 1c8: 00d00093 li ra,13 - 1cc: 00b00113 li sp,11 - 1d0: 40208f33 sub t5,ra,sp - 1d4: 000f0313 mv t1,t5 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5214e3 bne tp,t0,1c8 - 1e4: 00200e93 li t4,2 - 1e8: 01300193 li gp,19 - 1ec: 2dd31e63 bne t1,t4,4c8 +000001c0 : + 1c0: 00000213 li tp,0 + 1c4: 00d00093 li ra,13 + 1c8: 00b00113 li sp,11 + 1cc: 40208f33 sub t5,ra,sp + 1d0: 000f0313 mv t1,t5 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5214e3 bne tp,t0,1c4 + 1e0: 00200e93 li t4,2 + 1e4: 01300193 li gp,19 + 1e8: 2dd31e63 bne t1,t4,4c4 -000001f0 : - 1f0: 00000213 li tp,0 - 1f4: 00e00093 li ra,14 - 1f8: 00b00113 li sp,11 - 1fc: 40208f33 sub t5,ra,sp - 200: 00000013 nop - 204: 000f0313 mv t1,t5 - 208: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 20c: 00200293 li t0,2 - 210: fe5212e3 bne tp,t0,1f4 - 214: 00300e93 li t4,3 - 218: 01400193 li gp,20 - 21c: 2bd31663 bne t1,t4,4c8 +000001ec : + 1ec: 00000213 li tp,0 + 1f0: 00e00093 li ra,14 + 1f4: 00b00113 li sp,11 + 1f8: 40208f33 sub t5,ra,sp + 1fc: 00000013 nop + 200: 000f0313 mv t1,t5 + 204: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 208: 00200293 li t0,2 + 20c: fe5212e3 bne tp,t0,1f0 + 210: 00300e93 li t4,3 + 214: 01400193 li gp,20 + 218: 2bd31663 bne t1,t4,4c4 -00000220 : - 220: 00000213 li tp,0 - 224: 00f00093 li ra,15 - 228: 00b00113 li sp,11 - 22c: 40208f33 sub t5,ra,sp +0000021c : + 21c: 00000213 li tp,0 + 220: 00f00093 li ra,15 + 224: 00b00113 li sp,11 + 228: 40208f33 sub t5,ra,sp + 22c: 00000013 nop 230: 00000013 nop - 234: 00000013 nop - 238: 000f0313 mv t1,t5 - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fe5210e3 bne tp,t0,224 - 248: 00400e93 li t4,4 - 24c: 01500193 li gp,21 - 250: 27d31c63 bne t1,t4,4c8 + 234: 000f0313 mv t1,t5 + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fe5210e3 bne tp,t0,220 + 244: 00400e93 li t4,4 + 248: 01500193 li gp,21 + 24c: 27d31c63 bne t1,t4,4c4 -00000254 : - 254: 00000213 li tp,0 - 258: 00d00093 li ra,13 - 25c: 00b00113 li sp,11 - 260: 40208f33 sub t5,ra,sp - 264: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 268: 00200293 li t0,2 - 26c: fe5216e3 bne tp,t0,258 - 270: 00200e93 li t4,2 - 274: 01600193 li gp,22 - 278: 25df1863 bne t5,t4,4c8 +00000250 : + 250: 00000213 li tp,0 + 254: 00d00093 li ra,13 + 258: 00b00113 li sp,11 + 25c: 40208f33 sub t5,ra,sp + 260: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 264: 00200293 li t0,2 + 268: fe5216e3 bne tp,t0,254 + 26c: 00200e93 li t4,2 + 270: 01600193 li gp,22 + 274: 25df1863 bne t5,t4,4c4 -0000027c : - 27c: 00000213 li tp,0 - 280: 00e00093 li ra,14 - 284: 00b00113 li sp,11 - 288: 00000013 nop - 28c: 40208f33 sub t5,ra,sp - 290: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 294: 00200293 li t0,2 - 298: fe5214e3 bne tp,t0,280 - 29c: 00300e93 li t4,3 - 2a0: 01700193 li gp,23 - 2a4: 23df1263 bne t5,t4,4c8 +00000278 : + 278: 00000213 li tp,0 + 27c: 00e00093 li ra,14 + 280: 00b00113 li sp,11 + 284: 00000013 nop + 288: 40208f33 sub t5,ra,sp + 28c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 290: 00200293 li t0,2 + 294: fe5214e3 bne tp,t0,27c + 298: 00300e93 li t4,3 + 29c: 01700193 li gp,23 + 2a0: 23df1263 bne t5,t4,4c4 -000002a8 : - 2a8: 00000213 li tp,0 - 2ac: 00f00093 li ra,15 - 2b0: 00b00113 li sp,11 +000002a4 : + 2a4: 00000213 li tp,0 + 2a8: 00f00093 li ra,15 + 2ac: 00b00113 li sp,11 + 2b0: 00000013 nop 2b4: 00000013 nop - 2b8: 00000013 nop - 2bc: 40208f33 sub t5,ra,sp - 2c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2c4: 00200293 li t0,2 - 2c8: fe5212e3 bne tp,t0,2ac - 2cc: 00400e93 li t4,4 - 2d0: 01800193 li gp,24 - 2d4: 1fdf1a63 bne t5,t4,4c8 + 2b8: 40208f33 sub t5,ra,sp + 2bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c0: 00200293 li t0,2 + 2c4: fe5212e3 bne tp,t0,2a8 + 2c8: 00400e93 li t4,4 + 2cc: 01800193 li gp,24 + 2d0: 1fdf1a63 bne t5,t4,4c4 -000002d8 : - 2d8: 00000213 li tp,0 - 2dc: 00d00093 li ra,13 - 2e0: 00000013 nop - 2e4: 00b00113 li sp,11 - 2e8: 40208f33 sub t5,ra,sp - 2ec: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f0: 00200293 li t0,2 - 2f4: fe5214e3 bne tp,t0,2dc - 2f8: 00200e93 li t4,2 - 2fc: 01900193 li gp,25 - 300: 1ddf1463 bne t5,t4,4c8 +000002d4 : + 2d4: 00000213 li tp,0 + 2d8: 00d00093 li ra,13 + 2dc: 00000013 nop + 2e0: 00b00113 li sp,11 + 2e4: 40208f33 sub t5,ra,sp + 2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2ec: 00200293 li t0,2 + 2f0: fe5214e3 bne tp,t0,2d8 + 2f4: 00200e93 li t4,2 + 2f8: 01900193 li gp,25 + 2fc: 1ddf1463 bne t5,t4,4c4 -00000304 : - 304: 00000213 li tp,0 - 308: 00e00093 li ra,14 - 30c: 00000013 nop - 310: 00b00113 li sp,11 - 314: 00000013 nop - 318: 40208f33 sub t5,ra,sp - 31c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 320: 00200293 li t0,2 - 324: fe5212e3 bne tp,t0,308 - 328: 00300e93 li t4,3 - 32c: 01a00193 li gp,26 - 330: 19df1c63 bne t5,t4,4c8 +00000300 : + 300: 00000213 li tp,0 + 304: 00e00093 li ra,14 + 308: 00000013 nop + 30c: 00b00113 li sp,11 + 310: 00000013 nop + 314: 40208f33 sub t5,ra,sp + 318: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 31c: 00200293 li t0,2 + 320: fe5212e3 bne tp,t0,304 + 324: 00300e93 li t4,3 + 328: 01a00193 li gp,26 + 32c: 19df1c63 bne t5,t4,4c4 -00000334 : - 334: 00000213 li tp,0 - 338: 00f00093 li ra,15 +00000330 : + 330: 00000213 li tp,0 + 334: 00f00093 li ra,15 + 338: 00000013 nop 33c: 00000013 nop - 340: 00000013 nop - 344: 00b00113 li sp,11 - 348: 40208f33 sub t5,ra,sp - 34c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 350: 00200293 li t0,2 - 354: fe5212e3 bne tp,t0,338 - 358: 00400e93 li t4,4 - 35c: 01b00193 li gp,27 - 360: 17df1463 bne t5,t4,4c8 + 340: 00b00113 li sp,11 + 344: 40208f33 sub t5,ra,sp + 348: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 34c: 00200293 li t0,2 + 350: fe5212e3 bne tp,t0,334 + 354: 00400e93 li t4,4 + 358: 01b00193 li gp,27 + 35c: 17df1463 bne t5,t4,4c4 -00000364 : - 364: 00000213 li tp,0 - 368: 00b00113 li sp,11 - 36c: 00d00093 li ra,13 - 370: 40208f33 sub t5,ra,sp - 374: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 378: 00200293 li t0,2 - 37c: fe5216e3 bne tp,t0,368 - 380: 00200e93 li t4,2 - 384: 01c00193 li gp,28 - 388: 15df1063 bne t5,t4,4c8 +00000360 : + 360: 00000213 li tp,0 + 364: 00b00113 li sp,11 + 368: 00d00093 li ra,13 + 36c: 40208f33 sub t5,ra,sp + 370: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 374: 00200293 li t0,2 + 378: fe5216e3 bne tp,t0,364 + 37c: 00200e93 li t4,2 + 380: 01c00193 li gp,28 + 384: 15df1063 bne t5,t4,4c4 -0000038c : - 38c: 00000213 li tp,0 - 390: 00b00113 li sp,11 - 394: 00e00093 li ra,14 - 398: 00000013 nop - 39c: 40208f33 sub t5,ra,sp - 3a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3a4: 00200293 li t0,2 - 3a8: fe5214e3 bne tp,t0,390 - 3ac: 00300e93 li t4,3 - 3b0: 01d00193 li gp,29 - 3b4: 11df1a63 bne t5,t4,4c8 +00000388 : + 388: 00000213 li tp,0 + 38c: 00b00113 li sp,11 + 390: 00e00093 li ra,14 + 394: 00000013 nop + 398: 40208f33 sub t5,ra,sp + 39c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3a0: 00200293 li t0,2 + 3a4: fe5214e3 bne tp,t0,38c + 3a8: 00300e93 li t4,3 + 3ac: 01d00193 li gp,29 + 3b0: 11df1a63 bne t5,t4,4c4 -000003b8 : - 3b8: 00000213 li tp,0 - 3bc: 00b00113 li sp,11 - 3c0: 00f00093 li ra,15 +000003b4 : + 3b4: 00000213 li tp,0 + 3b8: 00b00113 li sp,11 + 3bc: 00f00093 li ra,15 + 3c0: 00000013 nop 3c4: 00000013 nop - 3c8: 00000013 nop - 3cc: 40208f33 sub t5,ra,sp - 3d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3d4: 00200293 li t0,2 - 3d8: fe5212e3 bne tp,t0,3bc - 3dc: 00400e93 li t4,4 - 3e0: 01e00193 li gp,30 - 3e4: 0fdf1263 bne t5,t4,4c8 + 3c8: 40208f33 sub t5,ra,sp + 3cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3d0: 00200293 li t0,2 + 3d4: fe5212e3 bne tp,t0,3b8 + 3d8: 00400e93 li t4,4 + 3dc: 01e00193 li gp,30 + 3e0: 0fdf1263 bne t5,t4,4c4 -000003e8 : - 3e8: 00000213 li tp,0 - 3ec: 00b00113 li sp,11 - 3f0: 00000013 nop - 3f4: 00d00093 li ra,13 - 3f8: 40208f33 sub t5,ra,sp - 3fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 400: 00200293 li t0,2 - 404: fe5214e3 bne tp,t0,3ec - 408: 00200e93 li t4,2 - 40c: 01f00193 li gp,31 - 410: 0bdf1c63 bne t5,t4,4c8 +000003e4 : + 3e4: 00000213 li tp,0 + 3e8: 00b00113 li sp,11 + 3ec: 00000013 nop + 3f0: 00d00093 li ra,13 + 3f4: 40208f33 sub t5,ra,sp + 3f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3fc: 00200293 li t0,2 + 400: fe5214e3 bne tp,t0,3e8 + 404: 00200e93 li t4,2 + 408: 01f00193 li gp,31 + 40c: 0bdf1c63 bne t5,t4,4c4 -00000414 : - 414: 00000213 li tp,0 - 418: 00b00113 li sp,11 - 41c: 00000013 nop - 420: 00e00093 li ra,14 - 424: 00000013 nop - 428: 40208f33 sub t5,ra,sp - 42c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 430: 00200293 li t0,2 - 434: fe5212e3 bne tp,t0,418 - 438: 00300e93 li t4,3 - 43c: 02000193 li gp,32 - 440: 09df1463 bne t5,t4,4c8 +00000410 : + 410: 00000213 li tp,0 + 414: 00b00113 li sp,11 + 418: 00000013 nop + 41c: 00e00093 li ra,14 + 420: 00000013 nop + 424: 40208f33 sub t5,ra,sp + 428: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 42c: 00200293 li t0,2 + 430: fe5212e3 bne tp,t0,414 + 434: 00300e93 li t4,3 + 438: 02000193 li gp,32 + 43c: 09df1463 bne t5,t4,4c4 -00000444 : - 444: 00000213 li tp,0 - 448: 00b00113 li sp,11 +00000440 : + 440: 00000213 li tp,0 + 444: 00b00113 li sp,11 + 448: 00000013 nop 44c: 00000013 nop - 450: 00000013 nop - 454: 00f00093 li ra,15 - 458: 40208f33 sub t5,ra,sp - 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 460: 00200293 li t0,2 - 464: fe5212e3 bne tp,t0,448 - 468: 00400e93 li t4,4 - 46c: 02100193 li gp,33 - 470: 05df1c63 bne t5,t4,4c8 + 450: 00f00093 li ra,15 + 454: 40208f33 sub t5,ra,sp + 458: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 45c: 00200293 li t0,2 + 460: fe5212e3 bne tp,t0,444 + 464: 00400e93 li t4,4 + 468: 02100193 li gp,33 + 46c: 05df1c63 bne t5,t4,4c4 -00000474 : - 474: ff100093 li ra,-15 - 478: 40100133 neg sp,ra - 47c: 00f00e93 li t4,15 - 480: 02200193 li gp,34 - 484: 05d11263 bne sp,t4,4c8 +00000470 : + 470: ff100093 li ra,-15 + 474: 40100133 neg sp,ra + 478: 00f00e93 li t4,15 + 47c: 02200193 li gp,34 + 480: 05d11263 bne sp,t4,4c4 -00000488 : - 488: 02000093 li ra,32 - 48c: 40008133 sub sp,ra,zero - 490: 02000e93 li t4,32 - 494: 02300193 li gp,35 - 498: 03d11863 bne sp,t4,4c8 +00000484 : + 484: 02000093 li ra,32 + 488: 40008133 sub sp,ra,zero + 48c: 02000e93 li t4,32 + 490: 02300193 li gp,35 + 494: 03d11863 bne sp,t4,4c4 -0000049c : - 49c: 400000b3 neg ra,zero - 4a0: 00000e93 li t4,0 - 4a4: 02400193 li gp,36 - 4a8: 03d09063 bne ra,t4,4c8 +00000498 : + 498: 400000b3 neg ra,zero + 49c: 00000e93 li t4,0 + 4a0: 02400193 li gp,36 + 4a4: 03d09063 bne ra,t4,4c4 -000004ac : - 4ac: 01000093 li ra,16 - 4b0: 01e00113 li sp,30 - 4b4: 40208033 sub zero,ra,sp - 4b8: 00000e93 li t4,0 - 4bc: 02500193 li gp,37 - 4c0: 01d01463 bne zero,t4,4c8 - 4c4: 00301863 bne zero,gp,4d4 +000004a8 : + 4a8: 01000093 li ra,16 + 4ac: 01e00113 li sp,30 + 4b0: 40208033 sub zero,ra,sp + 4b4: 00000e93 li t4,0 + 4b8: 02500193 li gp,37 + 4bc: 01d01463 bne zero,t4,4c4 + 4c0: 00301663 bne zero,gp,4cc -000004c8 : - 4c8: 00000d93 li s11,0 - 4cc: 00100d13 li s10,1 +000004c4 : + 4c4: 1000d073 csrwi sstatus,1 -000004d0 : - 4d0: 0000006f j 4d0 +000004c8 : + 4c8: 0000006f j 4c8 -000004d4 : - 4d4: 00100d93 li s11,1 - 4d8: 00100d13 li s10,1 +000004cc : + 4cc: 1001d073 csrwi sstatus,3 -000004dc : - 4dc: 0000006f j 4dc +000004d0 : + 4d0: 0000006f j 4d0 ... Disassembly of section .tohost: @@ -410,14 +407,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sub.mem b/tests/isa/generated/rv32ui-p-sub.mem index 1253927..0784286 100644 --- a/tests/isa/generated/rv32ui-p-sub.mem +++ b/tests/isa/generated/rv32ui-p-sub.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 40208f33 @@ -303,12 +302,10 @@ ff100093 00000e93 02500193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ ff100093 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sub.verilog b/tests/isa/generated/rv32ui-p-sub.verilog index 4b082a6..6a0f2a3 100755 --- a/tests/isa/generated/rv32ui-p-sub.verilog +++ b/tests/isa/generated/rv32ui-p-sub.verilog @@ -1,82 +1,82 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 8F 20 40 93 0E 00 00 93 01 20 00 63 16 DF 4B -93 00 10 00 13 01 10 00 33 8F 20 40 93 0E 00 00 -93 01 30 00 63 1A DF 49 93 00 30 00 13 01 70 00 -33 8F 20 40 93 0E C0 FF 93 01 40 00 63 1E DF 47 -93 00 00 00 37 81 FF FF 33 8F 20 40 B7 8E 00 00 -93 01 50 00 63 12 DF 47 B7 00 00 80 13 01 00 00 -33 8F 20 40 B7 0E 00 80 93 01 60 00 63 16 DF 45 -B7 00 00 80 37 81 FF FF 33 8F 20 40 B7 8E 00 80 -93 01 70 00 63 1A DF 43 93 00 00 00 37 81 00 00 -13 01 F1 FF 33 8F 20 40 B7 8E FF FF 93 8E 1E 00 -93 01 80 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF -13 01 00 00 33 8F 20 40 B7 0E 00 80 93 8E FE FF -93 01 90 00 63 1A DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 8F 20 40 B7 8E FF 7F -93 01 A0 00 63 1A DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 8F 20 40 B7 8E FF 7F 93 8E 1E 00 -93 01 B0 00 63 1A DF 3B B7 00 00 80 93 80 F0 FF -37 81 FF FF 33 8F 20 40 B7 8E 00 80 93 8E FE FF -93 01 C0 00 63 1A DF 39 93 00 00 00 13 01 F0 FF -33 8F 20 40 93 0E 10 00 93 01 D0 00 63 1E DF 37 -93 00 F0 FF 13 01 10 00 33 8F 20 40 93 0E E0 FF -93 01 E0 00 63 12 DF 37 93 00 F0 FF 13 01 F0 FF -33 8F 20 40 93 0E 00 00 93 01 F0 00 63 16 DF 35 -93 00 D0 00 13 01 B0 00 B3 80 20 40 93 0E 20 00 -93 01 00 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 -33 81 20 40 93 0E 30 00 93 01 10 01 63 1E D1 31 -93 00 D0 00 B3 80 10 40 93 0E 00 00 93 01 20 01 -63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 40 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 20 00 93 01 30 01 63 1E D3 2D -13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 40 +73 50 00 10 93 00 00 00 13 01 00 00 33 8F 20 40 +93 0E 00 00 93 01 20 00 63 16 DF 4B 93 00 10 00 +13 01 10 00 33 8F 20 40 93 0E 00 00 93 01 30 00 +63 1A DF 49 93 00 30 00 13 01 70 00 33 8F 20 40 +93 0E C0 FF 93 01 40 00 63 1E DF 47 93 00 00 00 +37 81 FF FF 33 8F 20 40 B7 8E 00 00 93 01 50 00 +63 12 DF 47 B7 00 00 80 13 01 00 00 33 8F 20 40 +B7 0E 00 80 93 01 60 00 63 16 DF 45 B7 00 00 80 +37 81 FF FF 33 8F 20 40 B7 8E 00 80 93 01 70 00 +63 1A DF 43 93 00 00 00 37 81 00 00 13 01 F1 FF +33 8F 20 40 B7 8E FF FF 93 8E 1E 00 93 01 80 00 +63 1A DF 41 B7 00 00 80 93 80 F0 FF 13 01 00 00 +33 8F 20 40 B7 0E 00 80 93 8E FE FF 93 01 90 00 +63 1A DF 3F B7 00 00 80 93 80 F0 FF 37 81 00 00 +13 01 F1 FF 33 8F 20 40 B7 8E FF 7F 93 01 A0 00 +63 1A DF 3D B7 00 00 80 37 81 00 00 13 01 F1 FF +33 8F 20 40 B7 8E FF 7F 93 8E 1E 00 93 01 B0 00 +63 1A DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF +33 8F 20 40 B7 8E 00 80 93 8E FE FF 93 01 C0 00 +63 1A DF 39 93 00 00 00 13 01 F0 FF 33 8F 20 40 +93 0E 10 00 93 01 D0 00 63 1E DF 37 93 00 F0 FF +13 01 10 00 33 8F 20 40 93 0E E0 FF 93 01 E0 00 +63 12 DF 37 93 00 F0 FF 13 01 F0 FF 33 8F 20 40 +93 0E 00 00 93 01 F0 00 63 16 DF 35 93 00 D0 00 +13 01 B0 00 B3 80 20 40 93 0E 20 00 93 01 00 01 +63 9A D0 33 93 00 E0 00 13 01 B0 00 33 81 20 40 +93 0E 30 00 93 01 10 01 63 1E D1 31 93 00 D0 00 +B3 80 10 40 93 0E 00 00 93 01 20 01 63 94 D0 31 +13 02 00 00 93 00 D0 00 13 01 B0 00 33 8F 20 40 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 20 00 93 01 30 01 63 1E D3 2D 13 02 00 00 +93 00 E0 00 13 01 B0 00 33 8F 20 40 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 30 00 93 01 40 01 63 16 D3 2B 13 02 00 00 +93 00 F0 00 13 01 B0 00 33 8F 20 40 13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 30 00 93 01 40 01 63 16 D3 2B -13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 40 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 40 00 93 01 50 01 -63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 20 00 93 01 60 01 63 18 DF 25 13 02 00 00 -93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 -93 01 70 01 63 12 DF 23 13 02 00 00 93 00 F0 00 -13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 -93 01 80 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 -13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 90 01 -63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 +E3 10 52 FE 93 0E 40 00 93 01 50 01 63 1C D3 27 +13 02 00 00 93 00 D0 00 13 01 B0 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 20 00 +93 01 60 01 63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 A0 01 -63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 -13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 B0 01 -63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 -33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 20 00 93 01 C0 01 63 10 DF 15 13 02 00 00 -13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 -93 01 D0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 -93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 -93 01 E0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 -13 00 00 00 93 00 D0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 F0 01 -63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 +93 02 20 00 E3 14 52 FE 93 0E 30 00 93 01 70 01 +63 12 DF 23 13 02 00 00 93 00 F0 00 13 01 B0 00 +13 00 00 00 13 00 00 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 80 01 +63 1A DF 1F 13 02 00 00 93 00 D0 00 13 00 00 00 +13 01 B0 00 33 8F 20 40 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 20 00 93 01 90 01 63 14 DF 1D +13 02 00 00 93 00 E0 00 13 00 00 00 13 01 B0 00 +13 00 00 00 33 8F 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 30 00 93 01 A0 01 63 1C DF 19 +13 02 00 00 93 00 F0 00 13 00 00 00 13 00 00 00 +13 01 B0 00 33 8F 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 40 00 93 01 B0 01 63 14 DF 17 +13 02 00 00 13 01 B0 00 93 00 D0 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 20 00 +93 01 C0 01 63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 00 02 -63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 -13 00 00 00 93 00 F0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 10 02 -63 1C DF 05 93 00 10 FF 33 01 10 40 93 0E F0 00 -93 01 20 02 63 12 D1 05 93 00 00 02 33 81 00 40 -93 0E 00 02 93 01 30 02 63 18 D1 03 B3 00 00 40 -93 0E 00 00 93 01 40 02 63 90 D0 03 93 00 00 01 -13 01 E0 01 33 80 20 40 93 0E 00 00 93 01 50 02 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +93 02 20 00 E3 14 52 FE 93 0E 30 00 93 01 D0 01 +63 1A DF 11 13 02 00 00 13 01 B0 00 93 00 F0 00 +13 00 00 00 13 00 00 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 E0 01 +63 12 DF 0F 13 02 00 00 13 01 B0 00 13 00 00 00 +93 00 D0 00 33 8F 20 40 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 20 00 93 01 F0 01 63 1C DF 0B +13 02 00 00 13 01 B0 00 13 00 00 00 93 00 E0 00 +13 00 00 00 33 8F 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 30 00 93 01 00 02 63 14 DF 09 +13 02 00 00 13 01 B0 00 13 00 00 00 13 00 00 00 +93 00 F0 00 33 8F 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 40 00 93 01 10 02 63 1C DF 05 +93 00 10 FF 33 01 10 40 93 0E F0 00 93 01 20 02 +63 12 D1 05 93 00 00 02 33 81 00 40 93 0E 00 02 +93 01 30 02 63 18 D1 03 B3 00 00 40 93 0E 00 00 +93 01 40 02 63 90 D0 03 93 00 00 01 13 01 E0 01 +33 80 20 40 93 0E 00 00 93 01 50 02 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sw b/tests/isa/generated/rv32ui-p-sw index 791cdcd79048f35a220d02efb89c7e00c7d5a73a..86fb776bb70ae1ef00190c29ac8fd2ded4b703e1 100755 GIT binary patch delta 665 zcmYk2ze}585XbLc)1qeZee(trL4EU9`@Vt|H4ZN*)V?%`Lq&%|-2@#=5KA*dLc;GSv^8+g}PVCr! zYJ#aD(${q&$rPkUcln)o$ORcG@d9#2wslvdJGs&w$YW9dNsHlNYtU$|qrYCKJ#2Ee zN;2n-6t9TnYFG<_#{Hb((@U7zg;Ce1M zmzu6wkpg6yJb{E09XTlt=xb_*bG) zT2va}u<%3i@9=yzYXwgAvp%mR}!H76HI7ku1Kcl#Gu!GP+zd0)YesJIS`Mmdj@Z*+Be(7V9`+vA+ z(Wr_L3BDB*)%PWArkJD;>B~sKi^zWYYNm|szjA1Lkbf=tBhM`lTZ2}2V*4`J>kccf zJ{JGrW_2}5?J*){EXW6|o2&BE$}!88mFI$_V*}vnSc|_0d=*Qh)1`9Q98r0rxhDi)U>+6FgQ$Oq!t9!%Yf!<4gP^Y$wpFl&8mLiKD*IXjtI zt~#=tEOJO9u2ZNz#4I>WHfrX-5ivR7Va+|TuXzu6UB+Ff_dx3wz}K1=!AZVrFues9 z<(KR5jGVa6{JJ)q!R%b~IruZ<{_Ya+z2-G|L-RWPNb^r{`vD?2Olzn^ntvl2rJB~* z#KOMjTkw$fi+15f&5z*?&G+GT&40r`%Sq>HTY2<#VX(B(?8+JZRHi&7Pdt;?q{B<` Mro2I3%edEm3-L{FZ2$lO diff --git a/tests/isa/generated/rv32ui-p-sw.bin b/tests/isa/generated/rv32ui-p-sw.bin index 44cd72ecd31215c8f022a9a6dfd1ebd072371b40..0aa474ece732a12bdde0955b9c20d9dc41acc28d 100755 GIT binary patch delta 195 zcmeBB>`>$`4qyesxBNiRS8z2PVdYSncYJ4HMU^GckOfctZ`y{10S) zn5@C5#&}?|KcluJ!)tRx#Ry@>M$X9%7yd6^tiT{H#K0iTbZGKqMs3D}llL>4GdfQG z&S=fpIN6xV8OSbY0U;xsL0-G1GBydmOz``?0paTFiNjl>I delta 201 zcmeBB>`>$v=4D`*48+p~fOJE{L~eCPhKa`Nj13c`L9AwVMu&;3)tL@_nRrDF$ovgt zzMrhZsK(eZ*_%;Y^1v%|L&XST#zxM`4Hy0|UaY_%F2ukf%+xfwpHZ8!aq@0Pb4JF= zpBb$g9VhEEIRn|nOd$4pF#9u;K2SuN*&L`cn%SD^;IYXwnC+Mj9-4fE*$OBl%wi8D egISCuBn-f=6y_CR$Y%h#Sbzb{-n@|IIX3|6g*Qb2 diff --git a/tests/isa/generated/rv32ui-p-sw.dump b/tests/isa/generated/rv32ui-p-sw.dump index 14f9852..5fd5f19 100644 --- a/tests/isa/generated/rv32ui-p-sw.dump +++ b/tests/isa/generated/rv32ui-p-sw.dump @@ -5,355 +5,352 @@ generated/rv32ui-p-sw: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00001097 auipc ra,0x1 - c: ff808093 addi ra,ra,-8 # 1000 - 10: 00aa0137 lui sp,0xaa0 - 14: 0aa10113 addi sp,sp,170 # aa00aa <_end+0xa9f022> - 18: 0020a023 sw sp,0(ra) - 1c: 0000af03 lw t5,0(ra) - 20: 00aa0eb7 lui t4,0xaa0 - 24: 0aae8e93 addi t4,t4,170 # aa00aa <_end+0xa9f022> - 28: 00200193 li gp,2 - 2c: 47df1063 bne t5,t4,48c +00000004 : + 4: 00001097 auipc ra,0x1 + 8: ffc08093 addi ra,ra,-4 # 1000 + c: 00aa0137 lui sp,0xaa0 + 10: 0aa10113 addi sp,sp,170 # aa00aa <_end+0xa9f022> + 14: 0020a023 sw sp,0(ra) + 18: 0000af03 lw t5,0(ra) + 1c: 00aa0eb7 lui t4,0xaa0 + 20: 0aae8e93 addi t4,t4,170 # aa00aa <_end+0xa9f022> + 24: 00200193 li gp,2 + 28: 47df1063 bne t5,t4,488 -00000030 : - 30: 00001097 auipc ra,0x1 - 34: fd008093 addi ra,ra,-48 # 1000 - 38: aa00b137 lui sp,0xaa00b - 3c: a0010113 addi sp,sp,-1536 # aa00aa00 <_end+0xaa009978> - 40: 0020a223 sw sp,4(ra) - 44: 0040af03 lw t5,4(ra) - 48: aa00beb7 lui t4,0xaa00b - 4c: a00e8e93 addi t4,t4,-1536 # aa00aa00 <_end+0xaa009978> - 50: 00300193 li gp,3 - 54: 43df1c63 bne t5,t4,48c +0000002c : + 2c: 00001097 auipc ra,0x1 + 30: fd408093 addi ra,ra,-44 # 1000 + 34: aa00b137 lui sp,0xaa00b + 38: a0010113 addi sp,sp,-1536 # aa00aa00 <_end+0xaa009978> + 3c: 0020a223 sw sp,4(ra) + 40: 0040af03 lw t5,4(ra) + 44: aa00beb7 lui t4,0xaa00b + 48: a00e8e93 addi t4,t4,-1536 # aa00aa00 <_end+0xaa009978> + 4c: 00300193 li gp,3 + 50: 43df1c63 bne t5,t4,488 -00000058 : - 58: 00001097 auipc ra,0x1 - 5c: fa808093 addi ra,ra,-88 # 1000 - 60: 0aa01137 lui sp,0xaa01 - 64: aa010113 addi sp,sp,-1376 # aa00aa0 <_end+0xa9ffa18> - 68: 0020a423 sw sp,8(ra) - 6c: 0080af03 lw t5,8(ra) - 70: 0aa01eb7 lui t4,0xaa01 - 74: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <_end+0xa9ffa18> - 78: 00400193 li gp,4 - 7c: 41df1863 bne t5,t4,48c +00000054 : + 54: 00001097 auipc ra,0x1 + 58: fac08093 addi ra,ra,-84 # 1000 + 5c: 0aa01137 lui sp,0xaa01 + 60: aa010113 addi sp,sp,-1376 # aa00aa0 <_end+0xa9ffa18> + 64: 0020a423 sw sp,8(ra) + 68: 0080af03 lw t5,8(ra) + 6c: 0aa01eb7 lui t4,0xaa01 + 70: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <_end+0xa9ffa18> + 74: 00400193 li gp,4 + 78: 41df1863 bne t5,t4,488 -00000080 : - 80: 00001097 auipc ra,0x1 - 84: f8008093 addi ra,ra,-128 # 1000 - 88: a00aa137 lui sp,0xa00aa - 8c: 00a10113 addi sp,sp,10 # a00aa00a <_end+0xa00a8f82> - 90: 0020a623 sw sp,12(ra) - 94: 00c0af03 lw t5,12(ra) - 98: a00aaeb7 lui t4,0xa00aa - 9c: 00ae8e93 addi t4,t4,10 # a00aa00a <_end+0xa00a8f82> - a0: 00500193 li gp,5 - a4: 3fdf1463 bne t5,t4,48c +0000007c : + 7c: 00001097 auipc ra,0x1 + 80: f8408093 addi ra,ra,-124 # 1000 + 84: a00aa137 lui sp,0xa00aa + 88: 00a10113 addi sp,sp,10 # a00aa00a <_end+0xa00a8f82> + 8c: 0020a623 sw sp,12(ra) + 90: 00c0af03 lw t5,12(ra) + 94: a00aaeb7 lui t4,0xa00aa + 98: 00ae8e93 addi t4,t4,10 # a00aa00a <_end+0xa00a8f82> + 9c: 00500193 li gp,5 + a0: 3fdf1463 bne t5,t4,488 -000000a8 : - a8: 00001097 auipc ra,0x1 - ac: f7408093 addi ra,ra,-140 # 101c - b0: 00aa0137 lui sp,0xaa0 - b4: 0aa10113 addi sp,sp,170 # aa00aa <_end+0xa9f022> - b8: fe20aa23 sw sp,-12(ra) - bc: ff40af03 lw t5,-12(ra) - c0: 00aa0eb7 lui t4,0xaa0 - c4: 0aae8e93 addi t4,t4,170 # aa00aa <_end+0xa9f022> - c8: 00600193 li gp,6 - cc: 3ddf1063 bne t5,t4,48c +000000a4 : + a4: 00001097 auipc ra,0x1 + a8: f7808093 addi ra,ra,-136 # 101c + ac: 00aa0137 lui sp,0xaa0 + b0: 0aa10113 addi sp,sp,170 # aa00aa <_end+0xa9f022> + b4: fe20aa23 sw sp,-12(ra) + b8: ff40af03 lw t5,-12(ra) + bc: 00aa0eb7 lui t4,0xaa0 + c0: 0aae8e93 addi t4,t4,170 # aa00aa <_end+0xa9f022> + c4: 00600193 li gp,6 + c8: 3ddf1063 bne t5,t4,488 -000000d0 : - d0: 00001097 auipc ra,0x1 - d4: f4c08093 addi ra,ra,-180 # 101c - d8: aa00b137 lui sp,0xaa00b - dc: a0010113 addi sp,sp,-1536 # aa00aa00 <_end+0xaa009978> - e0: fe20ac23 sw sp,-8(ra) - e4: ff80af03 lw t5,-8(ra) - e8: aa00beb7 lui t4,0xaa00b - ec: a00e8e93 addi t4,t4,-1536 # aa00aa00 <_end+0xaa009978> - f0: 00700193 li gp,7 - f4: 39df1c63 bne t5,t4,48c +000000cc : + cc: 00001097 auipc ra,0x1 + d0: f5008093 addi ra,ra,-176 # 101c + d4: aa00b137 lui sp,0xaa00b + d8: a0010113 addi sp,sp,-1536 # aa00aa00 <_end+0xaa009978> + dc: fe20ac23 sw sp,-8(ra) + e0: ff80af03 lw t5,-8(ra) + e4: aa00beb7 lui t4,0xaa00b + e8: a00e8e93 addi t4,t4,-1536 # aa00aa00 <_end+0xaa009978> + ec: 00700193 li gp,7 + f0: 39df1c63 bne t5,t4,488 -000000f8 : - f8: 00001097 auipc ra,0x1 - fc: f2408093 addi ra,ra,-220 # 101c - 100: 0aa01137 lui sp,0xaa01 - 104: aa010113 addi sp,sp,-1376 # aa00aa0 <_end+0xa9ffa18> - 108: fe20ae23 sw sp,-4(ra) - 10c: ffc0af03 lw t5,-4(ra) - 110: 0aa01eb7 lui t4,0xaa01 - 114: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <_end+0xa9ffa18> - 118: 00800193 li gp,8 - 11c: 37df1863 bne t5,t4,48c +000000f4 : + f4: 00001097 auipc ra,0x1 + f8: f2808093 addi ra,ra,-216 # 101c + fc: 0aa01137 lui sp,0xaa01 + 100: aa010113 addi sp,sp,-1376 # aa00aa0 <_end+0xa9ffa18> + 104: fe20ae23 sw sp,-4(ra) + 108: ffc0af03 lw t5,-4(ra) + 10c: 0aa01eb7 lui t4,0xaa01 + 110: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <_end+0xa9ffa18> + 114: 00800193 li gp,8 + 118: 37df1863 bne t5,t4,488 -00000120 : - 120: 00001097 auipc ra,0x1 - 124: efc08093 addi ra,ra,-260 # 101c - 128: a00aa137 lui sp,0xa00aa - 12c: 00a10113 addi sp,sp,10 # a00aa00a <_end+0xa00a8f82> - 130: 0020a023 sw sp,0(ra) - 134: 0000af03 lw t5,0(ra) - 138: a00aaeb7 lui t4,0xa00aa - 13c: 00ae8e93 addi t4,t4,10 # a00aa00a <_end+0xa00a8f82> - 140: 00900193 li gp,9 - 144: 35df1463 bne t5,t4,48c +0000011c : + 11c: 00001097 auipc ra,0x1 + 120: f0008093 addi ra,ra,-256 # 101c + 124: a00aa137 lui sp,0xa00aa + 128: 00a10113 addi sp,sp,10 # a00aa00a <_end+0xa00a8f82> + 12c: 0020a023 sw sp,0(ra) + 130: 0000af03 lw t5,0(ra) + 134: a00aaeb7 lui t4,0xa00aa + 138: 00ae8e93 addi t4,t4,10 # a00aa00a <_end+0xa00a8f82> + 13c: 00900193 li gp,9 + 140: 35df1463 bne t5,t4,488 -00000148 : - 148: 00001097 auipc ra,0x1 - 14c: ed808093 addi ra,ra,-296 # 1020 - 150: 12345137 lui sp,0x12345 - 154: 67810113 addi sp,sp,1656 # 12345678 <_end+0x123445f0> - 158: fe008213 addi tp,ra,-32 - 15c: 02222023 sw sp,32(tp) # 20 - 160: 0000a283 lw t0,0(ra) - 164: 12345eb7 lui t4,0x12345 - 168: 678e8e93 addi t4,t4,1656 # 12345678 <_end+0x123445f0> - 16c: 00a00193 li gp,10 - 170: 31d29e63 bne t0,t4,48c +00000144 : + 144: 00001097 auipc ra,0x1 + 148: edc08093 addi ra,ra,-292 # 1020 + 14c: 12345137 lui sp,0x12345 + 150: 67810113 addi sp,sp,1656 # 12345678 <_end+0x123445f0> + 154: fe008213 addi tp,ra,-32 + 158: 02222023 sw sp,32(tp) # 20 + 15c: 0000a283 lw t0,0(ra) + 160: 12345eb7 lui t4,0x12345 + 164: 678e8e93 addi t4,t4,1656 # 12345678 <_end+0x123445f0> + 168: 00a00193 li gp,10 + 16c: 31d29e63 bne t0,t4,488 -00000174 : - 174: 00001097 auipc ra,0x1 - 178: eac08093 addi ra,ra,-340 # 1020 - 17c: 58213137 lui sp,0x58213 - 180: 09810113 addi sp,sp,152 # 58213098 <_end+0x58212010> - 184: ffd08093 addi ra,ra,-3 - 188: 0020a3a3 sw sp,7(ra) - 18c: 00001217 auipc tp,0x1 - 190: e9820213 addi tp,tp,-360 # 1024 - 194: 00022283 lw t0,0(tp) # 0 <_start> - 198: 58213eb7 lui t4,0x58213 - 19c: 098e8e93 addi t4,t4,152 # 58213098 <_end+0x58212010> - 1a0: 00b00193 li gp,11 - 1a4: 2fd29463 bne t0,t4,48c +00000170 : + 170: 00001097 auipc ra,0x1 + 174: eb008093 addi ra,ra,-336 # 1020 + 178: 58213137 lui sp,0x58213 + 17c: 09810113 addi sp,sp,152 # 58213098 <_end+0x58212010> + 180: ffd08093 addi ra,ra,-3 + 184: 0020a3a3 sw sp,7(ra) + 188: 00001217 auipc tp,0x1 + 18c: e9c20213 addi tp,tp,-356 # 1024 + 190: 00022283 lw t0,0(tp) # 0 <_start> + 194: 58213eb7 lui t4,0x58213 + 198: 098e8e93 addi t4,t4,152 # 58213098 <_end+0x58212010> + 19c: 00b00193 li gp,11 + 1a0: 2fd29463 bne t0,t4,488 -000001a8 : - 1a8: 00c00193 li gp,12 - 1ac: 00000213 li tp,0 - 1b0: aabbd0b7 lui ra,0xaabbd - 1b4: cdd08093 addi ra,ra,-803 # aabbccdd <_end+0xaabbbc55> - 1b8: 00001117 auipc sp,0x1 - 1bc: e4810113 addi sp,sp,-440 # 1000 - 1c0: 00112023 sw ra,0(sp) - 1c4: 00012f03 lw t5,0(sp) - 1c8: aabbdeb7 lui t4,0xaabbd - 1cc: cdde8e93 addi t4,t4,-803 # aabbccdd <_end+0xaabbbc55> - 1d0: 2bdf1e63 bne t5,t4,48c - 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1d8: 00200293 li t0,2 - 1dc: fc521ae3 bne tp,t0,1b0 +000001a4 : + 1a4: 00c00193 li gp,12 + 1a8: 00000213 li tp,0 + 1ac: aabbd0b7 lui ra,0xaabbd + 1b0: cdd08093 addi ra,ra,-803 # aabbccdd <_end+0xaabbbc55> + 1b4: 00001117 auipc sp,0x1 + 1b8: e4c10113 addi sp,sp,-436 # 1000 + 1bc: 00112023 sw ra,0(sp) + 1c0: 00012f03 lw t5,0(sp) + 1c4: aabbdeb7 lui t4,0xaabbd + 1c8: cdde8e93 addi t4,t4,-803 # aabbccdd <_end+0xaabbbc55> + 1cc: 2bdf1e63 bne t5,t4,488 + 1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d4: 00200293 li t0,2 + 1d8: fc521ae3 bne tp,t0,1ac -000001e0 : - 1e0: 00d00193 li gp,13 - 1e4: 00000213 li tp,0 - 1e8: daabc0b7 lui ra,0xdaabc - 1ec: ccd08093 addi ra,ra,-819 # daabbccd <_end+0xdaabac45> - 1f0: 00001117 auipc sp,0x1 - 1f4: e1010113 addi sp,sp,-496 # 1000 - 1f8: 00000013 nop - 1fc: 00112223 sw ra,4(sp) - 200: 00412f03 lw t5,4(sp) - 204: daabceb7 lui t4,0xdaabc - 208: ccde8e93 addi t4,t4,-819 # daabbccd <_end+0xdaabac45> - 20c: 29df1063 bne t5,t4,48c - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fc5218e3 bne tp,t0,1e8 +000001dc : + 1dc: 00d00193 li gp,13 + 1e0: 00000213 li tp,0 + 1e4: daabc0b7 lui ra,0xdaabc + 1e8: ccd08093 addi ra,ra,-819 # daabbccd <_end+0xdaabac45> + 1ec: 00001117 auipc sp,0x1 + 1f0: e1410113 addi sp,sp,-492 # 1000 + 1f4: 00000013 nop + 1f8: 00112223 sw ra,4(sp) + 1fc: 00412f03 lw t5,4(sp) + 200: daabceb7 lui t4,0xdaabc + 204: ccde8e93 addi t4,t4,-819 # daabbccd <_end+0xdaabac45> + 208: 29df1063 bne t5,t4,488 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fc5218e3 bne tp,t0,1e4 -0000021c : - 21c: 00e00193 li gp,14 - 220: 00000213 li tp,0 - 224: ddaac0b7 lui ra,0xddaac - 228: bcc08093 addi ra,ra,-1076 # ddaabbcc <_end+0xddaaab44> - 22c: 00001117 auipc sp,0x1 - 230: dd410113 addi sp,sp,-556 # 1000 +00000218 : + 218: 00e00193 li gp,14 + 21c: 00000213 li tp,0 + 220: ddaac0b7 lui ra,0xddaac + 224: bcc08093 addi ra,ra,-1076 # ddaabbcc <_end+0xddaaab44> + 228: 00001117 auipc sp,0x1 + 22c: dd810113 addi sp,sp,-552 # 1000 + 230: 00000013 nop 234: 00000013 nop - 238: 00000013 nop - 23c: 00112423 sw ra,8(sp) - 240: 00812f03 lw t5,8(sp) - 244: ddaaceb7 lui t4,0xddaac - 248: bcce8e93 addi t4,t4,-1076 # ddaabbcc <_end+0xddaaab44> - 24c: 25df1063 bne t5,t4,48c - 250: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 254: 00200293 li t0,2 - 258: fc5216e3 bne tp,t0,224 + 238: 00112423 sw ra,8(sp) + 23c: 00812f03 lw t5,8(sp) + 240: ddaaceb7 lui t4,0xddaac + 244: bcce8e93 addi t4,t4,-1076 # ddaabbcc <_end+0xddaaab44> + 248: 25df1063 bne t5,t4,488 + 24c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 250: 00200293 li t0,2 + 254: fc5216e3 bne tp,t0,220 -0000025c : - 25c: 00f00193 li gp,15 - 260: 00000213 li tp,0 - 264: cddab0b7 lui ra,0xcddab - 268: bbc08093 addi ra,ra,-1092 # cddaabbc <_end+0xcdda9b34> - 26c: 00000013 nop - 270: 00001117 auipc sp,0x1 - 274: d9010113 addi sp,sp,-624 # 1000 - 278: 00112623 sw ra,12(sp) - 27c: 00c12f03 lw t5,12(sp) - 280: cddabeb7 lui t4,0xcddab - 284: bbce8e93 addi t4,t4,-1092 # cddaabbc <_end+0xcdda9b34> - 288: 21df1263 bne t5,t4,48c - 28c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 290: 00200293 li t0,2 - 294: fc5218e3 bne tp,t0,264 +00000258 : + 258: 00f00193 li gp,15 + 25c: 00000213 li tp,0 + 260: cddab0b7 lui ra,0xcddab + 264: bbc08093 addi ra,ra,-1092 # cddaabbc <_end+0xcdda9b34> + 268: 00000013 nop + 26c: 00001117 auipc sp,0x1 + 270: d9410113 addi sp,sp,-620 # 1000 + 274: 00112623 sw ra,12(sp) + 278: 00c12f03 lw t5,12(sp) + 27c: cddabeb7 lui t4,0xcddab + 280: bbce8e93 addi t4,t4,-1092 # cddaabbc <_end+0xcdda9b34> + 284: 21df1263 bne t5,t4,488 + 288: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 28c: 00200293 li t0,2 + 290: fc5218e3 bne tp,t0,260 -00000298 : - 298: 01000193 li gp,16 - 29c: 00000213 li tp,0 - 2a0: ccddb0b7 lui ra,0xccddb - 2a4: abb08093 addi ra,ra,-1349 # ccddaabb <_end+0xccdd9a33> - 2a8: 00000013 nop - 2ac: 00001117 auipc sp,0x1 - 2b0: d5410113 addi sp,sp,-684 # 1000 - 2b4: 00000013 nop - 2b8: 00112823 sw ra,16(sp) - 2bc: 01012f03 lw t5,16(sp) - 2c0: ccddbeb7 lui t4,0xccddb - 2c4: abbe8e93 addi t4,t4,-1349 # ccddaabb <_end+0xccdd9a33> - 2c8: 1ddf1263 bne t5,t4,48c - 2cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2d0: 00200293 li t0,2 - 2d4: fc5216e3 bne tp,t0,2a0 +00000294 : + 294: 01000193 li gp,16 + 298: 00000213 li tp,0 + 29c: ccddb0b7 lui ra,0xccddb + 2a0: abb08093 addi ra,ra,-1349 # ccddaabb <_end+0xccdd9a33> + 2a4: 00000013 nop + 2a8: 00001117 auipc sp,0x1 + 2ac: d5810113 addi sp,sp,-680 # 1000 + 2b0: 00000013 nop + 2b4: 00112823 sw ra,16(sp) + 2b8: 01012f03 lw t5,16(sp) + 2bc: ccddbeb7 lui t4,0xccddb + 2c0: abbe8e93 addi t4,t4,-1349 # ccddaabb <_end+0xccdd9a33> + 2c4: 1ddf1263 bne t5,t4,488 + 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2cc: 00200293 li t0,2 + 2d0: fc5216e3 bne tp,t0,29c -000002d8 : - 2d8: 01100193 li gp,17 - 2dc: 00000213 li tp,0 - 2e0: bccde0b7 lui ra,0xbccde - 2e4: aab08093 addi ra,ra,-1365 # bccddaab <_end+0xbccdca23> +000002d4 : + 2d4: 01100193 li gp,17 + 2d8: 00000213 li tp,0 + 2dc: bccde0b7 lui ra,0xbccde + 2e0: aab08093 addi ra,ra,-1365 # bccddaab <_end+0xbccdca23> + 2e4: 00000013 nop 2e8: 00000013 nop - 2ec: 00000013 nop - 2f0: 00001117 auipc sp,0x1 - 2f4: d1010113 addi sp,sp,-752 # 1000 - 2f8: 00112a23 sw ra,20(sp) - 2fc: 01412f03 lw t5,20(sp) - 300: bccdeeb7 lui t4,0xbccde - 304: aabe8e93 addi t4,t4,-1365 # bccddaab <_end+0xbccdca23> - 308: 19df1263 bne t5,t4,48c - 30c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 310: 00200293 li t0,2 - 314: fc5216e3 bne tp,t0,2e0 + 2ec: 00001117 auipc sp,0x1 + 2f0: d1410113 addi sp,sp,-748 # 1000 + 2f4: 00112a23 sw ra,20(sp) + 2f8: 01412f03 lw t5,20(sp) + 2fc: bccdeeb7 lui t4,0xbccde + 300: aabe8e93 addi t4,t4,-1365 # bccddaab <_end+0xbccdca23> + 304: 19df1263 bne t5,t4,488 + 308: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 30c: 00200293 li t0,2 + 310: fc5216e3 bne tp,t0,2dc -00000318 : - 318: 01200193 li gp,18 - 31c: 00000213 li tp,0 - 320: 00001117 auipc sp,0x1 - 324: ce010113 addi sp,sp,-800 # 1000 - 328: 001120b7 lui ra,0x112 - 32c: 23308093 addi ra,ra,563 # 112233 <_end+0x1111ab> - 330: 00112023 sw ra,0(sp) - 334: 00012f03 lw t5,0(sp) - 338: 00112eb7 lui t4,0x112 - 33c: 233e8e93 addi t4,t4,563 # 112233 <_end+0x1111ab> - 340: 15df1663 bne t5,t4,48c - 344: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 348: 00200293 li t0,2 - 34c: fc521ae3 bne tp,t0,320 +00000314 : + 314: 01200193 li gp,18 + 318: 00000213 li tp,0 + 31c: 00001117 auipc sp,0x1 + 320: ce410113 addi sp,sp,-796 # 1000 + 324: 001120b7 lui ra,0x112 + 328: 23308093 addi ra,ra,563 # 112233 <_end+0x1111ab> + 32c: 00112023 sw ra,0(sp) + 330: 00012f03 lw t5,0(sp) + 334: 00112eb7 lui t4,0x112 + 338: 233e8e93 addi t4,t4,563 # 112233 <_end+0x1111ab> + 33c: 15df1663 bne t5,t4,488 + 340: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 344: 00200293 li t0,2 + 348: fc521ae3 bne tp,t0,31c -00000350 : - 350: 01300193 li gp,19 - 354: 00000213 li tp,0 - 358: 00001117 auipc sp,0x1 - 35c: ca810113 addi sp,sp,-856 # 1000 - 360: 300110b7 lui ra,0x30011 - 364: 22308093 addi ra,ra,547 # 30011223 <_end+0x3001019b> - 368: 00000013 nop - 36c: 00112223 sw ra,4(sp) - 370: 00412f03 lw t5,4(sp) - 374: 30011eb7 lui t4,0x30011 - 378: 223e8e93 addi t4,t4,547 # 30011223 <_end+0x3001019b> - 37c: 11df1863 bne t5,t4,48c - 380: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 384: 00200293 li t0,2 - 388: fc5218e3 bne tp,t0,358 +0000034c : + 34c: 01300193 li gp,19 + 350: 00000213 li tp,0 + 354: 00001117 auipc sp,0x1 + 358: cac10113 addi sp,sp,-852 # 1000 + 35c: 300110b7 lui ra,0x30011 + 360: 22308093 addi ra,ra,547 # 30011223 <_end+0x3001019b> + 364: 00000013 nop + 368: 00112223 sw ra,4(sp) + 36c: 00412f03 lw t5,4(sp) + 370: 30011eb7 lui t4,0x30011 + 374: 223e8e93 addi t4,t4,547 # 30011223 <_end+0x3001019b> + 378: 11df1863 bne t5,t4,488 + 37c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 380: 00200293 li t0,2 + 384: fc5218e3 bne tp,t0,354 -0000038c : - 38c: 01400193 li gp,20 - 390: 00000213 li tp,0 - 394: 00001117 auipc sp,0x1 - 398: c6c10113 addi sp,sp,-916 # 1000 - 39c: 330010b7 lui ra,0x33001 - 3a0: 12208093 addi ra,ra,290 # 33001122 <_end+0x3300009a> +00000388 : + 388: 01400193 li gp,20 + 38c: 00000213 li tp,0 + 390: 00001117 auipc sp,0x1 + 394: c7010113 addi sp,sp,-912 # 1000 + 398: 330010b7 lui ra,0x33001 + 39c: 12208093 addi ra,ra,290 # 33001122 <_end+0x3300009a> + 3a0: 00000013 nop 3a4: 00000013 nop - 3a8: 00000013 nop - 3ac: 00112423 sw ra,8(sp) - 3b0: 00812f03 lw t5,8(sp) - 3b4: 33001eb7 lui t4,0x33001 - 3b8: 122e8e93 addi t4,t4,290 # 33001122 <_end+0x3300009a> - 3bc: 0ddf1863 bne t5,t4,48c - 3c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3c4: 00200293 li t0,2 - 3c8: fc5216e3 bne tp,t0,394 + 3a8: 00112423 sw ra,8(sp) + 3ac: 00812f03 lw t5,8(sp) + 3b0: 33001eb7 lui t4,0x33001 + 3b4: 122e8e93 addi t4,t4,290 # 33001122 <_end+0x3300009a> + 3b8: 0ddf1863 bne t5,t4,488 + 3bc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c0: 00200293 li t0,2 + 3c4: fc5216e3 bne tp,t0,390 -000003cc : - 3cc: 01500193 li gp,21 - 3d0: 00000213 li tp,0 - 3d4: 00001117 auipc sp,0x1 - 3d8: c2c10113 addi sp,sp,-980 # 1000 - 3dc: 00000013 nop - 3e0: 233000b7 lui ra,0x23300 - 3e4: 11208093 addi ra,ra,274 # 23300112 <_end+0x232ff08a> - 3e8: 00112623 sw ra,12(sp) - 3ec: 00c12f03 lw t5,12(sp) - 3f0: 23300eb7 lui t4,0x23300 - 3f4: 112e8e93 addi t4,t4,274 # 23300112 <_end+0x232ff08a> - 3f8: 09df1a63 bne t5,t4,48c - 3fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 400: 00200293 li t0,2 - 404: fc5218e3 bne tp,t0,3d4 +000003c8 : + 3c8: 01500193 li gp,21 + 3cc: 00000213 li tp,0 + 3d0: 00001117 auipc sp,0x1 + 3d4: c3010113 addi sp,sp,-976 # 1000 + 3d8: 00000013 nop + 3dc: 233000b7 lui ra,0x23300 + 3e0: 11208093 addi ra,ra,274 # 23300112 <_end+0x232ff08a> + 3e4: 00112623 sw ra,12(sp) + 3e8: 00c12f03 lw t5,12(sp) + 3ec: 23300eb7 lui t4,0x23300 + 3f0: 112e8e93 addi t4,t4,274 # 23300112 <_end+0x232ff08a> + 3f4: 09df1a63 bne t5,t4,488 + 3f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3fc: 00200293 li t0,2 + 400: fc5218e3 bne tp,t0,3d0 -00000408 : - 408: 01600193 li gp,22 - 40c: 00000213 li tp,0 - 410: 00001117 auipc sp,0x1 - 414: bf010113 addi sp,sp,-1040 # 1000 - 418: 00000013 nop - 41c: 223300b7 lui ra,0x22330 - 420: 01108093 addi ra,ra,17 # 22330011 <_end+0x2232ef89> - 424: 00000013 nop - 428: 00112823 sw ra,16(sp) - 42c: 01012f03 lw t5,16(sp) - 430: 22330eb7 lui t4,0x22330 - 434: 011e8e93 addi t4,t4,17 # 22330011 <_end+0x2232ef89> - 438: 05df1a63 bne t5,t4,48c - 43c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 440: 00200293 li t0,2 - 444: fc5216e3 bne tp,t0,410 +00000404 : + 404: 01600193 li gp,22 + 408: 00000213 li tp,0 + 40c: 00001117 auipc sp,0x1 + 410: bf410113 addi sp,sp,-1036 # 1000 + 414: 00000013 nop + 418: 223300b7 lui ra,0x22330 + 41c: 01108093 addi ra,ra,17 # 22330011 <_end+0x2232ef89> + 420: 00000013 nop + 424: 00112823 sw ra,16(sp) + 428: 01012f03 lw t5,16(sp) + 42c: 22330eb7 lui t4,0x22330 + 430: 011e8e93 addi t4,t4,17 # 22330011 <_end+0x2232ef89> + 434: 05df1a63 bne t5,t4,488 + 438: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 43c: 00200293 li t0,2 + 440: fc5216e3 bne tp,t0,40c -00000448 : - 448: 01700193 li gp,23 - 44c: 00000213 li tp,0 - 450: 00001117 auipc sp,0x1 - 454: bb010113 addi sp,sp,-1104 # 1000 +00000444 : + 444: 01700193 li gp,23 + 448: 00000213 li tp,0 + 44c: 00001117 auipc sp,0x1 + 450: bb410113 addi sp,sp,-1100 # 1000 + 454: 00000013 nop 458: 00000013 nop - 45c: 00000013 nop - 460: 122330b7 lui ra,0x12233 - 464: 00108093 addi ra,ra,1 # 12233001 <_end+0x12231f79> - 468: 00112a23 sw ra,20(sp) - 46c: 01412f03 lw t5,20(sp) - 470: 12233eb7 lui t4,0x12233 - 474: 001e8e93 addi t4,t4,1 # 12233001 <_end+0x12231f79> - 478: 01df1a63 bne t5,t4,48c - 47c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 480: 00200293 li t0,2 - 484: fc5216e3 bne tp,t0,450 - 488: 00301863 bne zero,gp,498 + 45c: 122330b7 lui ra,0x12233 + 460: 00108093 addi ra,ra,1 # 12233001 <_end+0x12231f79> + 464: 00112a23 sw ra,20(sp) + 468: 01412f03 lw t5,20(sp) + 46c: 12233eb7 lui t4,0x12233 + 470: 001e8e93 addi t4,t4,1 # 12233001 <_end+0x12231f79> + 474: 01df1a63 bne t5,t4,488 + 478: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 47c: 00200293 li t0,2 + 480: fc5216e3 bne tp,t0,44c + 484: 00301663 bne zero,gp,490 -0000048c : - 48c: 00000d93 li s11,0 - 490: 00100d13 li s10,1 +00000488 : + 488: 1000d073 csrwi sstatus,1 -00000494 : - 494: 0000006f j 494 +0000048c : + 48c: 0000006f j 48c -00000498 : - 498: 00100d93 li s11,1 - 49c: 00100d13 li s10,1 +00000490 : + 490: 1001d073 csrwi sstatus,3 -000004a0 : - 4a0: 0000006f j 4a0 +00000494 : + 494: 0000006f j 494 ... Disassembly of section .data: @@ -400,14 +397,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-sw.mem b/tests/isa/generated/rv32ui-p-sw.mem index e1b78c1..e06cc3e 100644 --- a/tests/isa/generated/rv32ui-p-sw.mem +++ b/tests/isa/generated/rv32ui-p-sw.mem @@ -1,7 +1,6 @@ -00000d13 -00000d93 +10005073 00001097 -ff808093 +ffc08093 00aa0137 0aa10113 0020a023 @@ -11,7 +10,7 @@ ff808093 00200193 47df1063 00001097 -fd008093 +fd408093 aa00b137 a0010113 0020a223 @@ -21,7 +20,7 @@ a00e8e93 00300193 43df1c63 00001097 -fa808093 +fac08093 0aa01137 aa010113 0020a423 @@ -31,7 +30,7 @@ aa0e8e93 00400193 41df1863 00001097 -f8008093 +f8408093 a00aa137 00a10113 0020a623 @@ -41,7 +40,7 @@ a00aaeb7 00500193 3fdf1463 00001097 -f7408093 +f7808093 00aa0137 0aa10113 fe20aa23 @@ -51,7 +50,7 @@ ff40af03 00600193 3ddf1063 00001097 -f4c08093 +f5008093 aa00b137 a0010113 fe20ac23 @@ -61,7 +60,7 @@ a00e8e93 00700193 39df1c63 00001097 -f2408093 +f2808093 0aa01137 aa010113 fe20ae23 @@ -71,7 +70,7 @@ aa0e8e93 00800193 37df1863 00001097 -efc08093 +f0008093 a00aa137 00a10113 0020a023 @@ -81,7 +80,7 @@ a00aaeb7 00900193 35df1463 00001097 -ed808093 +edc08093 12345137 67810113 fe008213 @@ -92,13 +91,13 @@ fe008213 00a00193 31d29e63 00001097 -eac08093 +eb008093 58213137 09810113 ffd08093 0020a3a3 00001217 -e9820213 +e9c20213 00022283 58213eb7 098e8e93 @@ -109,7 +108,7 @@ e9820213 aabbd0b7 cdd08093 00001117 -e4810113 +e4c10113 00112023 00012f03 aabbdeb7 @@ -123,7 +122,7 @@ fc521ae3 daabc0b7 ccd08093 00001117 -e1010113 +e1410113 00000013 00112223 00412f03 @@ -138,7 +137,7 @@ fc5218e3 ddaac0b7 bcc08093 00001117 -dd410113 +dd810113 00000013 00000013 00112423 @@ -155,7 +154,7 @@ cddab0b7 bbc08093 00000013 00001117 -d9010113 +d9410113 00112623 00c12f03 cddabeb7 @@ -170,7 +169,7 @@ ccddb0b7 abb08093 00000013 00001117 -d5410113 +d5810113 00000013 00112823 01012f03 @@ -187,7 +186,7 @@ aab08093 00000013 00000013 00001117 -d1010113 +d1410113 00112a23 01412f03 bccdeeb7 @@ -199,7 +198,7 @@ fc5216e3 01200193 00000213 00001117 -ce010113 +ce410113 001120b7 23308093 00112023 @@ -213,7 +212,7 @@ fc521ae3 01300193 00000213 00001117 -ca810113 +cac10113 300110b7 22308093 00000013 @@ -228,7 +227,7 @@ fc5218e3 01400193 00000213 00001117 -c6c10113 +c7010113 330010b7 12208093 00000013 @@ -244,7 +243,7 @@ fc5216e3 01500193 00000213 00001117 -c2c10113 +c3010113 00000013 233000b7 11208093 @@ -259,7 +258,7 @@ fc5218e3 01600193 00000213 00001117 -bf010113 +bf410113 00000013 223300b7 01108093 @@ -275,7 +274,7 @@ fc5216e3 01700193 00000213 00001117 -bb010113 +bb410113 00000013 00000013 122330b7 @@ -288,12 +287,10 @@ bb010113 00120213 00200293 fc5216e3 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -1022,6 +1019,9 @@ fc5216e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 deadbeef deadbeef deadbeef diff --git a/tests/isa/generated/rv32ui-p-sw.verilog b/tests/isa/generated/rv32ui-p-sw.verilog index b6e5c65..a9e1ae7 100755 --- a/tests/isa/generated/rv32ui-p-sw.verilog +++ b/tests/isa/generated/rv32ui-p-sw.verilog @@ -1,79 +1,79 @@ @00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -37 01 AA 00 13 01 A1 0A 23 A0 20 00 03 AF 00 00 -B7 0E AA 00 93 8E AE 0A 93 01 20 00 63 10 DF 47 -97 10 00 00 93 80 00 FD 37 B1 00 AA 13 01 01 A0 -23 A2 20 00 03 AF 40 00 B7 BE 00 AA 93 8E 0E A0 -93 01 30 00 63 1C DF 43 97 10 00 00 93 80 80 FA -37 11 A0 0A 13 01 01 AA 23 A4 20 00 03 AF 80 00 -B7 1E A0 0A 93 8E 0E AA 93 01 40 00 63 18 DF 41 -97 10 00 00 93 80 00 F8 37 A1 0A A0 13 01 A1 00 -23 A6 20 00 03 AF C0 00 B7 AE 0A A0 93 8E AE 00 -93 01 50 00 63 14 DF 3F 97 10 00 00 93 80 40 F7 -37 01 AA 00 13 01 A1 0A 23 AA 20 FE 03 AF 40 FF -B7 0E AA 00 93 8E AE 0A 93 01 60 00 63 10 DF 3D -97 10 00 00 93 80 C0 F4 37 B1 00 AA 13 01 01 A0 -23 AC 20 FE 03 AF 80 FF B7 BE 00 AA 93 8E 0E A0 -93 01 70 00 63 1C DF 39 97 10 00 00 93 80 40 F2 -37 11 A0 0A 13 01 01 AA 23 AE 20 FE 03 AF C0 FF -B7 1E A0 0A 93 8E 0E AA 93 01 80 00 63 18 DF 37 -97 10 00 00 93 80 C0 EF 37 A1 0A A0 13 01 A1 00 -23 A0 20 00 03 AF 00 00 B7 AE 0A A0 93 8E AE 00 -93 01 90 00 63 14 DF 35 97 10 00 00 93 80 80 ED -37 51 34 12 13 01 81 67 13 82 00 FE 23 20 22 02 -83 A2 00 00 B7 5E 34 12 93 8E 8E 67 93 01 A0 00 -63 9E D2 31 97 10 00 00 93 80 C0 EA 37 31 21 58 -13 01 81 09 93 80 D0 FF A3 A3 20 00 17 12 00 00 -13 02 82 E9 83 22 02 00 B7 3E 21 58 93 8E 8E 09 -93 01 B0 00 63 94 D2 2F 93 01 C0 00 13 02 00 00 -B7 D0 BB AA 93 80 D0 CD 17 11 00 00 13 01 81 E4 -23 20 11 00 03 2F 01 00 B7 DE BB AA 93 8E DE CD -63 1E DF 2B 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 D0 00 13 02 00 00 B7 C0 AB DA 93 80 D0 CC -17 11 00 00 13 01 01 E1 13 00 00 00 23 22 11 00 -03 2F 41 00 B7 CE AB DA 93 8E DE CC 63 10 DF 29 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 -13 02 00 00 B7 C0 AA DD 93 80 C0 BC 17 11 00 00 -13 01 41 DD 13 00 00 00 13 00 00 00 23 24 11 00 -03 2F 81 00 B7 CE AA DD 93 8E CE BC 63 10 DF 25 -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 -13 02 00 00 B7 B0 DA CD 93 80 C0 BB 13 00 00 00 -17 11 00 00 13 01 01 D9 23 26 11 00 03 2F C1 00 -B7 BE DA CD 93 8E CE BB 63 12 DF 21 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 -B7 B0 DD CC 93 80 B0 AB 13 00 00 00 17 11 00 00 -13 01 41 D5 13 00 00 00 23 28 11 00 03 2F 01 01 -B7 BE DD CC 93 8E BE AB 63 12 DF 1D 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 -B7 E0 CD BC 93 80 B0 AA 13 00 00 00 13 00 00 00 -17 11 00 00 13 01 01 D1 23 2A 11 00 03 2F 41 01 -B7 EE CD BC 93 8E BE AA 63 12 DF 19 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 CE B7 20 11 00 93 80 30 23 -23 20 11 00 03 2F 01 00 B7 2E 11 00 93 8E 3E 23 -63 16 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CA -B7 10 01 30 93 80 30 22 13 00 00 00 23 22 11 00 -03 2F 41 00 B7 1E 01 30 93 8E 3E 22 63 18 DF 11 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 C6 B7 10 00 33 -93 80 20 12 13 00 00 00 13 00 00 00 23 24 11 00 -03 2F 81 00 B7 1E 00 33 93 8E 2E 12 63 18 DF 0D -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 -13 02 00 00 17 11 00 00 13 01 C1 C2 13 00 00 00 -B7 00 30 23 93 80 20 11 23 26 11 00 03 2F C1 00 -B7 0E 30 23 93 8E 2E 11 63 1A DF 09 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 60 01 13 02 00 00 -17 11 00 00 13 01 01 BF 13 00 00 00 B7 00 33 22 -93 80 10 01 13 00 00 00 23 28 11 00 03 2F 01 01 -B7 0E 33 22 93 8E 1E 01 63 1A DF 05 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 70 01 13 02 00 00 -17 11 00 00 13 01 01 BB 13 00 00 00 13 00 00 00 -B7 30 23 12 93 80 10 00 23 2A 11 00 03 2F 41 01 -B7 3E 23 12 93 8E 1E 00 63 1A DF 01 13 02 12 00 -93 02 20 00 E3 16 52 FC 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +73 50 00 10 97 10 00 00 93 80 C0 FF 37 01 AA 00 +13 01 A1 0A 23 A0 20 00 03 AF 00 00 B7 0E AA 00 +93 8E AE 0A 93 01 20 00 63 10 DF 47 97 10 00 00 +93 80 40 FD 37 B1 00 AA 13 01 01 A0 23 A2 20 00 +03 AF 40 00 B7 BE 00 AA 93 8E 0E A0 93 01 30 00 +63 1C DF 43 97 10 00 00 93 80 C0 FA 37 11 A0 0A +13 01 01 AA 23 A4 20 00 03 AF 80 00 B7 1E A0 0A +93 8E 0E AA 93 01 40 00 63 18 DF 41 97 10 00 00 +93 80 40 F8 37 A1 0A A0 13 01 A1 00 23 A6 20 00 +03 AF C0 00 B7 AE 0A A0 93 8E AE 00 93 01 50 00 +63 14 DF 3F 97 10 00 00 93 80 80 F7 37 01 AA 00 +13 01 A1 0A 23 AA 20 FE 03 AF 40 FF B7 0E AA 00 +93 8E AE 0A 93 01 60 00 63 10 DF 3D 97 10 00 00 +93 80 00 F5 37 B1 00 AA 13 01 01 A0 23 AC 20 FE +03 AF 80 FF B7 BE 00 AA 93 8E 0E A0 93 01 70 00 +63 1C DF 39 97 10 00 00 93 80 80 F2 37 11 A0 0A +13 01 01 AA 23 AE 20 FE 03 AF C0 FF B7 1E A0 0A +93 8E 0E AA 93 01 80 00 63 18 DF 37 97 10 00 00 +93 80 00 F0 37 A1 0A A0 13 01 A1 00 23 A0 20 00 +03 AF 00 00 B7 AE 0A A0 93 8E AE 00 93 01 90 00 +63 14 DF 35 97 10 00 00 93 80 C0 ED 37 51 34 12 +13 01 81 67 13 82 00 FE 23 20 22 02 83 A2 00 00 +B7 5E 34 12 93 8E 8E 67 93 01 A0 00 63 9E D2 31 +97 10 00 00 93 80 00 EB 37 31 21 58 13 01 81 09 +93 80 D0 FF A3 A3 20 00 17 12 00 00 13 02 C2 E9 +83 22 02 00 B7 3E 21 58 93 8E 8E 09 93 01 B0 00 +63 94 D2 2F 93 01 C0 00 13 02 00 00 B7 D0 BB AA +93 80 D0 CD 17 11 00 00 13 01 C1 E4 23 20 11 00 +03 2F 01 00 B7 DE BB AA 93 8E DE CD 63 1E DF 2B +13 02 12 00 93 02 20 00 E3 1A 52 FC 93 01 D0 00 +13 02 00 00 B7 C0 AB DA 93 80 D0 CC 17 11 00 00 +13 01 41 E1 13 00 00 00 23 22 11 00 03 2F 41 00 +B7 CE AB DA 93 8E DE CC 63 10 DF 29 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 E0 00 13 02 00 00 +B7 C0 AA DD 93 80 C0 BC 17 11 00 00 13 01 81 DD +13 00 00 00 13 00 00 00 23 24 11 00 03 2F 81 00 +B7 CE AA DD 93 8E CE BC 63 10 DF 25 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 F0 00 13 02 00 00 +B7 B0 DA CD 93 80 C0 BB 13 00 00 00 17 11 00 00 +13 01 41 D9 23 26 11 00 03 2F C1 00 B7 BE DA CD +93 8E CE BB 63 12 DF 21 13 02 12 00 93 02 20 00 +E3 18 52 FC 93 01 00 01 13 02 00 00 B7 B0 DD CC +93 80 B0 AB 13 00 00 00 17 11 00 00 13 01 81 D5 +13 00 00 00 23 28 11 00 03 2F 01 01 B7 BE DD CC +93 8E BE AB 63 12 DF 1D 13 02 12 00 93 02 20 00 +E3 16 52 FC 93 01 10 01 13 02 00 00 B7 E0 CD BC +93 80 B0 AA 13 00 00 00 13 00 00 00 17 11 00 00 +13 01 41 D1 23 2A 11 00 03 2F 41 01 B7 EE CD BC +93 8E BE AA 63 12 DF 19 13 02 12 00 93 02 20 00 +E3 16 52 FC 93 01 20 01 13 02 00 00 17 11 00 00 +13 01 41 CE B7 20 11 00 93 80 30 23 23 20 11 00 +03 2F 01 00 B7 2E 11 00 93 8E 3E 23 63 16 DF 15 +13 02 12 00 93 02 20 00 E3 1A 52 FC 93 01 30 01 +13 02 00 00 17 11 00 00 13 01 C1 CA B7 10 01 30 +93 80 30 22 13 00 00 00 23 22 11 00 03 2F 41 00 +B7 1E 01 30 93 8E 3E 22 63 18 DF 11 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 40 01 13 02 00 00 +17 11 00 00 13 01 01 C7 B7 10 00 33 93 80 20 12 +13 00 00 00 13 00 00 00 23 24 11 00 03 2F 81 00 +B7 1E 00 33 93 8E 2E 12 63 18 DF 0D 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 50 01 13 02 00 00 +17 11 00 00 13 01 01 C3 13 00 00 00 B7 00 30 23 +93 80 20 11 23 26 11 00 03 2F C1 00 B7 0E 30 23 +93 8E 2E 11 63 1A DF 09 13 02 12 00 93 02 20 00 +E3 18 52 FC 93 01 60 01 13 02 00 00 17 11 00 00 +13 01 41 BF 13 00 00 00 B7 00 33 22 93 80 10 01 +13 00 00 00 23 28 11 00 03 2F 01 01 B7 0E 33 22 +93 8E 1E 01 63 1A DF 05 13 02 12 00 93 02 20 00 +E3 16 52 FC 93 01 70 01 13 02 00 00 17 11 00 00 +13 01 41 BB 13 00 00 00 13 00 00 00 B7 30 23 12 +93 80 10 00 23 2A 11 00 03 2F 41 01 B7 3E 23 12 +93 8E 1E 00 63 1A DF 01 13 02 12 00 93 02 20 00 +E3 16 52 FC 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00001000 diff --git a/tests/isa/generated/rv32ui-p-xor b/tests/isa/generated/rv32ui-p-xor index ece807926988d5bbf31ccd90e2460d2767be946c..6b13008a849a71fd8f5643890460e59ecabaadc3 100755 GIT binary patch delta 612 zcmdmBy2W&Y0^^*Giud_hiUSw~CT|cF*(@Wll0`twfT8#TgFrq51CVAE$e)i&hehQE;!i3PD17rpu@k@aG4kUgJ(0+y^NCGV&z04p21Q;25fTRf$ zALP3nB>o&Ae+3eM36TE)iN6NOmtg@CV8F<*1xQCA@%MmyRDvXM1jwIJV%FF@iy z2J+7!@vi{+Cy@B}fcy)SPm1TU1&0>qS43>Km3Yp`C^uPEN||xRWKSt|MvKXXQtA>> Ok_-$EK(#Oc3q%0snLz^p delta 600 zcmYk%u}cDB7zXh7tt(u_gCjh@C7o&rx-`R~2C1>2L7H5o7U3|_l7_&g(INR99Bc?~ z>xM!{+Z-GU8eD=Xp`d@EvF9$N_k(-C=l6Vf+}$91pS@0U_aXHWi&#-2jjL~%kA`hK zA*`rLrxYBR6y|p6E|E{5sg>);4dNK$LamWWm(x|JU0T+orCc+D@^3M{B8-iHTZn5j z5|ctc6iy+Z39lk|h1ZdX!du8w;T@tT{fJ@@+2L8iw2$Zt7hqqw2v3DiV0#WDTud(Z zf^hJ$E#XVNpT?rN!VSiJ_%sGn3o|F&fvdtj*cbNTFJT`}8W`bX8elI94}(9t5XA`Y z2tUD|@FzSGp1?1{@9>*`j#n1znO%3I($Cx9%u3%XOK&TaJ$;~7cvGKa9_bqu{{_Bd BK;8fV diff --git a/tests/isa/generated/rv32ui-p-xor.bin b/tests/isa/generated/rv32ui-p-xor.bin index 03dab56f1663afd4a803e864420c8a194c885d15..00bf41c70c4feecc792246d8cfcefded1107cf43 100755 GIT binary patch delta 42 xcmeC+?%-xA4qy: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: ff0100b7 lui ra,0xff010 - c: f0008093 addi ra,ra,-256 # ff00ff00 - 10: 0f0f1137 lui sp,0xf0f1 - 14: f0f10113 addi sp,sp,-241 # f0f0f0f - 18: 0020cf33 xor t5,ra,sp - 1c: f00ffeb7 lui t4,0xf00ff - 20: 00fe8e93 addi t4,t4,15 # f00ff00f - 24: 00200193 li gp,2 - 28: 4bdf1063 bne t5,t4,4c8 +00000004 : + 4: ff0100b7 lui ra,0xff010 + 8: f0008093 addi ra,ra,-256 # ff00ff00 + c: 0f0f1137 lui sp,0xf0f1 + 10: f0f10113 addi sp,sp,-241 # f0f0f0f + 14: 0020cf33 xor t5,ra,sp + 18: f00ffeb7 lui t4,0xf00ff + 1c: 00fe8e93 addi t4,t4,15 # f00ff00f + 20: 00200193 li gp,2 + 24: 4bdf1063 bne t5,t4,4c4 -0000002c : - 2c: 0ff010b7 lui ra,0xff01 - 30: ff008093 addi ra,ra,-16 # ff00ff0 - 34: f0f0f137 lui sp,0xf0f0f - 38: 0f010113 addi sp,sp,240 # f0f0f0f0 - 3c: 0020cf33 xor t5,ra,sp - 40: ff010eb7 lui t4,0xff010 - 44: f00e8e93 addi t4,t4,-256 # ff00ff00 - 48: 00300193 li gp,3 - 4c: 47df1e63 bne t5,t4,4c8 +00000028 : + 28: 0ff010b7 lui ra,0xff01 + 2c: ff008093 addi ra,ra,-16 # ff00ff0 + 30: f0f0f137 lui sp,0xf0f0f + 34: 0f010113 addi sp,sp,240 # f0f0f0f0 + 38: 0020cf33 xor t5,ra,sp + 3c: ff010eb7 lui t4,0xff010 + 40: f00e8e93 addi t4,t4,-256 # ff00ff00 + 44: 00300193 li gp,3 + 48: 47df1e63 bne t5,t4,4c4 -00000050 : - 50: 00ff00b7 lui ra,0xff0 - 54: 0ff08093 addi ra,ra,255 # ff00ff - 58: 0f0f1137 lui sp,0xf0f1 - 5c: f0f10113 addi sp,sp,-241 # f0f0f0f - 60: 0020cf33 xor t5,ra,sp - 64: 0ff01eb7 lui t4,0xff01 - 68: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 6c: 00400193 li gp,4 - 70: 45df1c63 bne t5,t4,4c8 +0000004c : + 4c: 00ff00b7 lui ra,0xff0 + 50: 0ff08093 addi ra,ra,255 # ff00ff + 54: 0f0f1137 lui sp,0xf0f1 + 58: f0f10113 addi sp,sp,-241 # f0f0f0f + 5c: 0020cf33 xor t5,ra,sp + 60: 0ff01eb7 lui t4,0xff01 + 64: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 68: 00400193 li gp,4 + 6c: 45df1c63 bne t5,t4,4c4 -00000074 : - 74: f00ff0b7 lui ra,0xf00ff - 78: 00f08093 addi ra,ra,15 # f00ff00f - 7c: f0f0f137 lui sp,0xf0f0f - 80: 0f010113 addi sp,sp,240 # f0f0f0f0 - 84: 0020cf33 xor t5,ra,sp - 88: 00ff0eb7 lui t4,0xff0 - 8c: 0ffe8e93 addi t4,t4,255 # ff00ff - 90: 00500193 li gp,5 - 94: 43df1a63 bne t5,t4,4c8 +00000070 : + 70: f00ff0b7 lui ra,0xf00ff + 74: 00f08093 addi ra,ra,15 # f00ff00f + 78: f0f0f137 lui sp,0xf0f0f + 7c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 80: 0020cf33 xor t5,ra,sp + 84: 00ff0eb7 lui t4,0xff0 + 88: 0ffe8e93 addi t4,t4,255 # ff00ff + 8c: 00500193 li gp,5 + 90: 43df1a63 bne t5,t4,4c4 -00000098 : - 98: ff0100b7 lui ra,0xff010 - 9c: f0008093 addi ra,ra,-256 # ff00ff00 - a0: 0f0f1137 lui sp,0xf0f1 - a4: f0f10113 addi sp,sp,-241 # f0f0f0f - a8: 0020c0b3 xor ra,ra,sp - ac: f00ffeb7 lui t4,0xf00ff - b0: 00fe8e93 addi t4,t4,15 # f00ff00f - b4: 00600193 li gp,6 - b8: 41d09863 bne ra,t4,4c8 +00000094 : + 94: ff0100b7 lui ra,0xff010 + 98: f0008093 addi ra,ra,-256 # ff00ff00 + 9c: 0f0f1137 lui sp,0xf0f1 + a0: f0f10113 addi sp,sp,-241 # f0f0f0f + a4: 0020c0b3 xor ra,ra,sp + a8: f00ffeb7 lui t4,0xf00ff + ac: 00fe8e93 addi t4,t4,15 # f00ff00f + b0: 00600193 li gp,6 + b4: 41d09863 bne ra,t4,4c4 -000000bc : - bc: ff0100b7 lui ra,0xff010 - c0: f0008093 addi ra,ra,-256 # ff00ff00 - c4: 0f0f1137 lui sp,0xf0f1 - c8: f0f10113 addi sp,sp,-241 # f0f0f0f - cc: 0020c133 xor sp,ra,sp - d0: f00ffeb7 lui t4,0xf00ff - d4: 00fe8e93 addi t4,t4,15 # f00ff00f - d8: 00700193 li gp,7 - dc: 3fd11663 bne sp,t4,4c8 +000000b8 : + b8: ff0100b7 lui ra,0xff010 + bc: f0008093 addi ra,ra,-256 # ff00ff00 + c0: 0f0f1137 lui sp,0xf0f1 + c4: f0f10113 addi sp,sp,-241 # f0f0f0f + c8: 0020c133 xor sp,ra,sp + cc: f00ffeb7 lui t4,0xf00ff + d0: 00fe8e93 addi t4,t4,15 # f00ff00f + d4: 00700193 li gp,7 + d8: 3fd11663 bne sp,t4,4c4 -000000e0 : - e0: ff0100b7 lui ra,0xff010 - e4: f0008093 addi ra,ra,-256 # ff00ff00 - e8: 0010c0b3 xor ra,ra,ra - ec: 00000e93 li t4,0 - f0: 00800193 li gp,8 - f4: 3dd09a63 bne ra,t4,4c8 +000000dc : + dc: ff0100b7 lui ra,0xff010 + e0: f0008093 addi ra,ra,-256 # ff00ff00 + e4: 0010c0b3 xor ra,ra,ra + e8: 00000e93 li t4,0 + ec: 00800193 li gp,8 + f0: 3dd09a63 bne ra,t4,4c4 -000000f8 : - f8: 00000213 li tp,0 - fc: ff0100b7 lui ra,0xff010 - 100: f0008093 addi ra,ra,-256 # ff00ff00 - 104: 0f0f1137 lui sp,0xf0f1 - 108: f0f10113 addi sp,sp,-241 # f0f0f0f - 10c: 0020cf33 xor t5,ra,sp - 110: 000f0313 mv t1,t5 - 114: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 118: 00200293 li t0,2 - 11c: fe5210e3 bne tp,t0,fc - 120: f00ffeb7 lui t4,0xf00ff - 124: 00fe8e93 addi t4,t4,15 # f00ff00f - 128: 00900193 li gp,9 - 12c: 39d31e63 bne t1,t4,4c8 +000000f4 : + f4: 00000213 li tp,0 + f8: ff0100b7 lui ra,0xff010 + fc: f0008093 addi ra,ra,-256 # ff00ff00 + 100: 0f0f1137 lui sp,0xf0f1 + 104: f0f10113 addi sp,sp,-241 # f0f0f0f + 108: 0020cf33 xor t5,ra,sp + 10c: 000f0313 mv t1,t5 + 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 114: 00200293 li t0,2 + 118: fe5210e3 bne tp,t0,f8 + 11c: f00ffeb7 lui t4,0xf00ff + 120: 00fe8e93 addi t4,t4,15 # f00ff00f + 124: 00900193 li gp,9 + 128: 39d31e63 bne t1,t4,4c4 -00000130 : - 130: 00000213 li tp,0 - 134: 0ff010b7 lui ra,0xff01 - 138: ff008093 addi ra,ra,-16 # ff00ff0 - 13c: f0f0f137 lui sp,0xf0f0f - 140: 0f010113 addi sp,sp,240 # f0f0f0f0 - 144: 0020cf33 xor t5,ra,sp - 148: 00000013 nop - 14c: 000f0313 mv t1,t5 - 150: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 154: 00200293 li t0,2 - 158: fc521ee3 bne tp,t0,134 - 15c: ff010eb7 lui t4,0xff010 - 160: f00e8e93 addi t4,t4,-256 # ff00ff00 - 164: 00a00193 li gp,10 - 168: 37d31063 bne t1,t4,4c8 +0000012c : + 12c: 00000213 li tp,0 + 130: 0ff010b7 lui ra,0xff01 + 134: ff008093 addi ra,ra,-16 # ff00ff0 + 138: f0f0f137 lui sp,0xf0f0f + 13c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 140: 0020cf33 xor t5,ra,sp + 144: 00000013 nop + 148: 000f0313 mv t1,t5 + 14c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 150: 00200293 li t0,2 + 154: fc521ee3 bne tp,t0,130 + 158: ff010eb7 lui t4,0xff010 + 15c: f00e8e93 addi t4,t4,-256 # ff00ff00 + 160: 00a00193 li gp,10 + 164: 37d31063 bne t1,t4,4c4 -0000016c : - 16c: 00000213 li tp,0 - 170: 00ff00b7 lui ra,0xff0 - 174: 0ff08093 addi ra,ra,255 # ff00ff - 178: 0f0f1137 lui sp,0xf0f1 - 17c: f0f10113 addi sp,sp,-241 # f0f0f0f - 180: 0020cf33 xor t5,ra,sp +00000168 : + 168: 00000213 li tp,0 + 16c: 00ff00b7 lui ra,0xff0 + 170: 0ff08093 addi ra,ra,255 # ff00ff + 174: 0f0f1137 lui sp,0xf0f1 + 178: f0f10113 addi sp,sp,-241 # f0f0f0f + 17c: 0020cf33 xor t5,ra,sp + 180: 00000013 nop 184: 00000013 nop - 188: 00000013 nop - 18c: 000f0313 mv t1,t5 - 190: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 194: 00200293 li t0,2 - 198: fc521ce3 bne tp,t0,170 - 19c: 0ff01eb7 lui t4,0xff01 - 1a0: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 1a4: 00b00193 li gp,11 - 1a8: 33d31063 bne t1,t4,4c8 + 188: 000f0313 mv t1,t5 + 18c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 190: 00200293 li t0,2 + 194: fc521ce3 bne tp,t0,16c + 198: 0ff01eb7 lui t4,0xff01 + 19c: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 1a0: 00b00193 li gp,11 + 1a4: 33d31063 bne t1,t4,4c4 -000001ac : - 1ac: 00000213 li tp,0 - 1b0: ff0100b7 lui ra,0xff010 - 1b4: f0008093 addi ra,ra,-256 # ff00ff00 - 1b8: 0f0f1137 lui sp,0xf0f1 - 1bc: f0f10113 addi sp,sp,-241 # f0f0f0f - 1c0: 0020cf33 xor t5,ra,sp - 1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1c8: 00200293 li t0,2 - 1cc: fe5212e3 bne tp,t0,1b0 - 1d0: f00ffeb7 lui t4,0xf00ff - 1d4: 00fe8e93 addi t4,t4,15 # f00ff00f - 1d8: 00c00193 li gp,12 - 1dc: 2fdf1663 bne t5,t4,4c8 +000001a8 : + 1a8: 00000213 li tp,0 + 1ac: ff0100b7 lui ra,0xff010 + 1b0: f0008093 addi ra,ra,-256 # ff00ff00 + 1b4: 0f0f1137 lui sp,0xf0f1 + 1b8: f0f10113 addi sp,sp,-241 # f0f0f0f + 1bc: 0020cf33 xor t5,ra,sp + 1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1c4: 00200293 li t0,2 + 1c8: fe5212e3 bne tp,t0,1ac + 1cc: f00ffeb7 lui t4,0xf00ff + 1d0: 00fe8e93 addi t4,t4,15 # f00ff00f + 1d4: 00c00193 li gp,12 + 1d8: 2fdf1663 bne t5,t4,4c4 -000001e0 : - 1e0: 00000213 li tp,0 - 1e4: 0ff010b7 lui ra,0xff01 - 1e8: ff008093 addi ra,ra,-16 # ff00ff0 - 1ec: f0f0f137 lui sp,0xf0f0f - 1f0: 0f010113 addi sp,sp,240 # f0f0f0f0 - 1f4: 00000013 nop - 1f8: 0020cf33 xor t5,ra,sp - 1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 200: 00200293 li t0,2 - 204: fe5210e3 bne tp,t0,1e4 - 208: ff010eb7 lui t4,0xff010 - 20c: f00e8e93 addi t4,t4,-256 # ff00ff00 - 210: 00d00193 li gp,13 - 214: 2bdf1a63 bne t5,t4,4c8 +000001dc : + 1dc: 00000213 li tp,0 + 1e0: 0ff010b7 lui ra,0xff01 + 1e4: ff008093 addi ra,ra,-16 # ff00ff0 + 1e8: f0f0f137 lui sp,0xf0f0f + 1ec: 0f010113 addi sp,sp,240 # f0f0f0f0 + 1f0: 00000013 nop + 1f4: 0020cf33 xor t5,ra,sp + 1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1fc: 00200293 li t0,2 + 200: fe5210e3 bne tp,t0,1e0 + 204: ff010eb7 lui t4,0xff010 + 208: f00e8e93 addi t4,t4,-256 # ff00ff00 + 20c: 00d00193 li gp,13 + 210: 2bdf1a63 bne t5,t4,4c4 -00000218 : - 218: 00000213 li tp,0 - 21c: 00ff00b7 lui ra,0xff0 - 220: 0ff08093 addi ra,ra,255 # ff00ff - 224: 0f0f1137 lui sp,0xf0f1 - 228: f0f10113 addi sp,sp,-241 # f0f0f0f +00000214 : + 214: 00000213 li tp,0 + 218: 00ff00b7 lui ra,0xff0 + 21c: 0ff08093 addi ra,ra,255 # ff00ff + 220: 0f0f1137 lui sp,0xf0f1 + 224: f0f10113 addi sp,sp,-241 # f0f0f0f + 228: 00000013 nop 22c: 00000013 nop - 230: 00000013 nop - 234: 0020cf33 xor t5,ra,sp - 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 23c: 00200293 li t0,2 - 240: fc521ee3 bne tp,t0,21c - 244: 0ff01eb7 lui t4,0xff01 - 248: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 24c: 00e00193 li gp,14 - 250: 27df1c63 bne t5,t4,4c8 + 230: 0020cf33 xor t5,ra,sp + 234: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 238: 00200293 li t0,2 + 23c: fc521ee3 bne tp,t0,218 + 240: 0ff01eb7 lui t4,0xff01 + 244: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 248: 00e00193 li gp,14 + 24c: 27df1c63 bne t5,t4,4c4 -00000254 : - 254: 00000213 li tp,0 - 258: ff0100b7 lui ra,0xff010 - 25c: f0008093 addi ra,ra,-256 # ff00ff00 - 260: 00000013 nop - 264: 0f0f1137 lui sp,0xf0f1 - 268: f0f10113 addi sp,sp,-241 # f0f0f0f - 26c: 0020cf33 xor t5,ra,sp - 270: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 274: 00200293 li t0,2 - 278: fe5210e3 bne tp,t0,258 - 27c: f00ffeb7 lui t4,0xf00ff - 280: 00fe8e93 addi t4,t4,15 # f00ff00f - 284: 00f00193 li gp,15 - 288: 25df1063 bne t5,t4,4c8 +00000250 : + 250: 00000213 li tp,0 + 254: ff0100b7 lui ra,0xff010 + 258: f0008093 addi ra,ra,-256 # ff00ff00 + 25c: 00000013 nop + 260: 0f0f1137 lui sp,0xf0f1 + 264: f0f10113 addi sp,sp,-241 # f0f0f0f + 268: 0020cf33 xor t5,ra,sp + 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 270: 00200293 li t0,2 + 274: fe5210e3 bne tp,t0,254 + 278: f00ffeb7 lui t4,0xf00ff + 27c: 00fe8e93 addi t4,t4,15 # f00ff00f + 280: 00f00193 li gp,15 + 284: 25df1063 bne t5,t4,4c4 -0000028c : - 28c: 00000213 li tp,0 - 290: 0ff010b7 lui ra,0xff01 - 294: ff008093 addi ra,ra,-16 # ff00ff0 - 298: 00000013 nop - 29c: f0f0f137 lui sp,0xf0f0f - 2a0: 0f010113 addi sp,sp,240 # f0f0f0f0 - 2a4: 00000013 nop - 2a8: 0020cf33 xor t5,ra,sp - 2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2b0: 00200293 li t0,2 - 2b4: fc521ee3 bne tp,t0,290 - 2b8: ff010eb7 lui t4,0xff010 - 2bc: f00e8e93 addi t4,t4,-256 # ff00ff00 - 2c0: 01000193 li gp,16 - 2c4: 21df1263 bne t5,t4,4c8 +00000288 : + 288: 00000213 li tp,0 + 28c: 0ff010b7 lui ra,0xff01 + 290: ff008093 addi ra,ra,-16 # ff00ff0 + 294: 00000013 nop + 298: f0f0f137 lui sp,0xf0f0f + 29c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 2a0: 00000013 nop + 2a4: 0020cf33 xor t5,ra,sp + 2a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2ac: 00200293 li t0,2 + 2b0: fc521ee3 bne tp,t0,28c + 2b4: ff010eb7 lui t4,0xff010 + 2b8: f00e8e93 addi t4,t4,-256 # ff00ff00 + 2bc: 01000193 li gp,16 + 2c0: 21df1263 bne t5,t4,4c4 -000002c8 : - 2c8: 00000213 li tp,0 - 2cc: 00ff00b7 lui ra,0xff0 - 2d0: 0ff08093 addi ra,ra,255 # ff00ff +000002c4 : + 2c4: 00000213 li tp,0 + 2c8: 00ff00b7 lui ra,0xff0 + 2cc: 0ff08093 addi ra,ra,255 # ff00ff + 2d0: 00000013 nop 2d4: 00000013 nop - 2d8: 00000013 nop - 2dc: 0f0f1137 lui sp,0xf0f1 - 2e0: f0f10113 addi sp,sp,-241 # f0f0f0f - 2e4: 0020cf33 xor t5,ra,sp - 2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2ec: 00200293 li t0,2 - 2f0: fc521ee3 bne tp,t0,2cc - 2f4: 0ff01eb7 lui t4,0xff01 - 2f8: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 2fc: 01100193 li gp,17 - 300: 1ddf1463 bne t5,t4,4c8 + 2d8: 0f0f1137 lui sp,0xf0f1 + 2dc: f0f10113 addi sp,sp,-241 # f0f0f0f + 2e0: 0020cf33 xor t5,ra,sp + 2e4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2e8: 00200293 li t0,2 + 2ec: fc521ee3 bne tp,t0,2c8 + 2f0: 0ff01eb7 lui t4,0xff01 + 2f4: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 2f8: 01100193 li gp,17 + 2fc: 1ddf1463 bne t5,t4,4c4 -00000304 : - 304: 00000213 li tp,0 - 308: 0f0f1137 lui sp,0xf0f1 - 30c: f0f10113 addi sp,sp,-241 # f0f0f0f - 310: ff0100b7 lui ra,0xff010 - 314: f0008093 addi ra,ra,-256 # ff00ff00 - 318: 0020cf33 xor t5,ra,sp - 31c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 320: 00200293 li t0,2 - 324: fe5212e3 bne tp,t0,308 - 328: f00ffeb7 lui t4,0xf00ff - 32c: 00fe8e93 addi t4,t4,15 # f00ff00f - 330: 01200193 li gp,18 - 334: 19df1a63 bne t5,t4,4c8 +00000300 : + 300: 00000213 li tp,0 + 304: 0f0f1137 lui sp,0xf0f1 + 308: f0f10113 addi sp,sp,-241 # f0f0f0f + 30c: ff0100b7 lui ra,0xff010 + 310: f0008093 addi ra,ra,-256 # ff00ff00 + 314: 0020cf33 xor t5,ra,sp + 318: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 31c: 00200293 li t0,2 + 320: fe5212e3 bne tp,t0,304 + 324: f00ffeb7 lui t4,0xf00ff + 328: 00fe8e93 addi t4,t4,15 # f00ff00f + 32c: 01200193 li gp,18 + 330: 19df1a63 bne t5,t4,4c4 -00000338 : - 338: 00000213 li tp,0 - 33c: f0f0f137 lui sp,0xf0f0f - 340: 0f010113 addi sp,sp,240 # f0f0f0f0 - 344: 0ff010b7 lui ra,0xff01 - 348: ff008093 addi ra,ra,-16 # ff00ff0 - 34c: 00000013 nop - 350: 0020cf33 xor t5,ra,sp - 354: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 358: 00200293 li t0,2 - 35c: fe5210e3 bne tp,t0,33c - 360: ff010eb7 lui t4,0xff010 - 364: f00e8e93 addi t4,t4,-256 # ff00ff00 - 368: 01300193 li gp,19 - 36c: 15df1e63 bne t5,t4,4c8 +00000334 : + 334: 00000213 li tp,0 + 338: f0f0f137 lui sp,0xf0f0f + 33c: 0f010113 addi sp,sp,240 # f0f0f0f0 + 340: 0ff010b7 lui ra,0xff01 + 344: ff008093 addi ra,ra,-16 # ff00ff0 + 348: 00000013 nop + 34c: 0020cf33 xor t5,ra,sp + 350: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 354: 00200293 li t0,2 + 358: fe5210e3 bne tp,t0,338 + 35c: ff010eb7 lui t4,0xff010 + 360: f00e8e93 addi t4,t4,-256 # ff00ff00 + 364: 01300193 li gp,19 + 368: 15df1e63 bne t5,t4,4c4 -00000370 : - 370: 00000213 li tp,0 - 374: 0f0f1137 lui sp,0xf0f1 - 378: f0f10113 addi sp,sp,-241 # f0f0f0f - 37c: 00ff00b7 lui ra,0xff0 - 380: 0ff08093 addi ra,ra,255 # ff00ff +0000036c : + 36c: 00000213 li tp,0 + 370: 0f0f1137 lui sp,0xf0f1 + 374: f0f10113 addi sp,sp,-241 # f0f0f0f + 378: 00ff00b7 lui ra,0xff0 + 37c: 0ff08093 addi ra,ra,255 # ff00ff + 380: 00000013 nop 384: 00000013 nop - 388: 00000013 nop - 38c: 0020cf33 xor t5,ra,sp - 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 394: 00200293 li t0,2 - 398: fc521ee3 bne tp,t0,374 - 39c: 0ff01eb7 lui t4,0xff01 - 3a0: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 3a4: 01400193 li gp,20 - 3a8: 13df1063 bne t5,t4,4c8 + 388: 0020cf33 xor t5,ra,sp + 38c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 390: 00200293 li t0,2 + 394: fc521ee3 bne tp,t0,370 + 398: 0ff01eb7 lui t4,0xff01 + 39c: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 3a0: 01400193 li gp,20 + 3a4: 13df1063 bne t5,t4,4c4 -000003ac : - 3ac: 00000213 li tp,0 - 3b0: 0f0f1137 lui sp,0xf0f1 - 3b4: f0f10113 addi sp,sp,-241 # f0f0f0f - 3b8: 00000013 nop - 3bc: ff0100b7 lui ra,0xff010 - 3c0: f0008093 addi ra,ra,-256 # ff00ff00 - 3c4: 0020cf33 xor t5,ra,sp - 3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3cc: 00200293 li t0,2 - 3d0: fe5210e3 bne tp,t0,3b0 - 3d4: f00ffeb7 lui t4,0xf00ff - 3d8: 00fe8e93 addi t4,t4,15 # f00ff00f - 3dc: 01500193 li gp,21 - 3e0: 0fdf1463 bne t5,t4,4c8 +000003a8 : + 3a8: 00000213 li tp,0 + 3ac: 0f0f1137 lui sp,0xf0f1 + 3b0: f0f10113 addi sp,sp,-241 # f0f0f0f + 3b4: 00000013 nop + 3b8: ff0100b7 lui ra,0xff010 + 3bc: f0008093 addi ra,ra,-256 # ff00ff00 + 3c0: 0020cf33 xor t5,ra,sp + 3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c8: 00200293 li t0,2 + 3cc: fe5210e3 bne tp,t0,3ac + 3d0: f00ffeb7 lui t4,0xf00ff + 3d4: 00fe8e93 addi t4,t4,15 # f00ff00f + 3d8: 01500193 li gp,21 + 3dc: 0fdf1463 bne t5,t4,4c4 -000003e4 : - 3e4: 00000213 li tp,0 - 3e8: f0f0f137 lui sp,0xf0f0f - 3ec: 0f010113 addi sp,sp,240 # f0f0f0f0 - 3f0: 00000013 nop - 3f4: 0ff010b7 lui ra,0xff01 - 3f8: ff008093 addi ra,ra,-16 # ff00ff0 - 3fc: 00000013 nop - 400: 0020cf33 xor t5,ra,sp - 404: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 408: 00200293 li t0,2 - 40c: fc521ee3 bne tp,t0,3e8 - 410: ff010eb7 lui t4,0xff010 - 414: f00e8e93 addi t4,t4,-256 # ff00ff00 - 418: 01600193 li gp,22 - 41c: 0bdf1663 bne t5,t4,4c8 +000003e0 : + 3e0: 00000213 li tp,0 + 3e4: f0f0f137 lui sp,0xf0f0f + 3e8: 0f010113 addi sp,sp,240 # f0f0f0f0 + 3ec: 00000013 nop + 3f0: 0ff010b7 lui ra,0xff01 + 3f4: ff008093 addi ra,ra,-16 # ff00ff0 + 3f8: 00000013 nop + 3fc: 0020cf33 xor t5,ra,sp + 400: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 404: 00200293 li t0,2 + 408: fc521ee3 bne tp,t0,3e4 + 40c: ff010eb7 lui t4,0xff010 + 410: f00e8e93 addi t4,t4,-256 # ff00ff00 + 414: 01600193 li gp,22 + 418: 0bdf1663 bne t5,t4,4c4 -00000420 : - 420: 00000213 li tp,0 - 424: 0f0f1137 lui sp,0xf0f1 - 428: f0f10113 addi sp,sp,-241 # f0f0f0f +0000041c : + 41c: 00000213 li tp,0 + 420: 0f0f1137 lui sp,0xf0f1 + 424: f0f10113 addi sp,sp,-241 # f0f0f0f + 428: 00000013 nop 42c: 00000013 nop - 430: 00000013 nop - 434: 00ff00b7 lui ra,0xff0 - 438: 0ff08093 addi ra,ra,255 # ff00ff - 43c: 0020cf33 xor t5,ra,sp - 440: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 444: 00200293 li t0,2 - 448: fc521ee3 bne tp,t0,424 - 44c: 0ff01eb7 lui t4,0xff01 - 450: ff0e8e93 addi t4,t4,-16 # ff00ff0 - 454: 01700193 li gp,23 - 458: 07df1863 bne t5,t4,4c8 + 430: 00ff00b7 lui ra,0xff0 + 434: 0ff08093 addi ra,ra,255 # ff00ff + 438: 0020cf33 xor t5,ra,sp + 43c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 440: 00200293 li t0,2 + 444: fc521ee3 bne tp,t0,420 + 448: 0ff01eb7 lui t4,0xff01 + 44c: ff0e8e93 addi t4,t4,-16 # ff00ff0 + 450: 01700193 li gp,23 + 454: 07df1863 bne t5,t4,4c4 -0000045c : - 45c: ff0100b7 lui ra,0xff010 - 460: f0008093 addi ra,ra,-256 # ff00ff00 - 464: 00104133 xor sp,zero,ra - 468: ff010eb7 lui t4,0xff010 - 46c: f00e8e93 addi t4,t4,-256 # ff00ff00 - 470: 01800193 li gp,24 - 474: 05d11a63 bne sp,t4,4c8 +00000458 : + 458: ff0100b7 lui ra,0xff010 + 45c: f0008093 addi ra,ra,-256 # ff00ff00 + 460: 00104133 xor sp,zero,ra + 464: ff010eb7 lui t4,0xff010 + 468: f00e8e93 addi t4,t4,-256 # ff00ff00 + 46c: 01800193 li gp,24 + 470: 05d11a63 bne sp,t4,4c4 -00000478 : - 478: 00ff00b7 lui ra,0xff0 - 47c: 0ff08093 addi ra,ra,255 # ff00ff - 480: 0000c133 xor sp,ra,zero - 484: 00ff0eb7 lui t4,0xff0 - 488: 0ffe8e93 addi t4,t4,255 # ff00ff - 48c: 01900193 li gp,25 - 490: 03d11c63 bne sp,t4,4c8 +00000474 : + 474: 00ff00b7 lui ra,0xff0 + 478: 0ff08093 addi ra,ra,255 # ff00ff + 47c: 0000c133 xor sp,ra,zero + 480: 00ff0eb7 lui t4,0xff0 + 484: 0ffe8e93 addi t4,t4,255 # ff00ff + 488: 01900193 li gp,25 + 48c: 03d11c63 bne sp,t4,4c4 -00000494 : - 494: 000040b3 xor ra,zero,zero - 498: 00000e93 li t4,0 - 49c: 01a00193 li gp,26 - 4a0: 03d09463 bne ra,t4,4c8 +00000490 : + 490: 000040b3 xor ra,zero,zero + 494: 00000e93 li t4,0 + 498: 01a00193 li gp,26 + 49c: 03d09463 bne ra,t4,4c4 -000004a4 : - 4a4: 111110b7 lui ra,0x11111 - 4a8: 11108093 addi ra,ra,273 # 11111111 - 4ac: 22222137 lui sp,0x22222 - 4b0: 22210113 addi sp,sp,546 # 22222222 - 4b4: 0020c033 xor zero,ra,sp - 4b8: 00000e93 li t4,0 - 4bc: 01b00193 li gp,27 - 4c0: 01d01463 bne zero,t4,4c8 - 4c4: 00301863 bne zero,gp,4d4 +000004a0 : + 4a0: 111110b7 lui ra,0x11111 + 4a4: 11108093 addi ra,ra,273 # 11111111 + 4a8: 22222137 lui sp,0x22222 + 4ac: 22210113 addi sp,sp,546 # 22222222 + 4b0: 0020c033 xor zero,ra,sp + 4b4: 00000e93 li t4,0 + 4b8: 01b00193 li gp,27 + 4bc: 01d01463 bne zero,t4,4c4 + 4c0: 00301663 bne zero,gp,4cc -000004c8 : - 4c8: 00000d93 li s11,0 - 4cc: 00100d13 li s10,1 +000004c4 : + 4c4: 1000d073 csrwi sstatus,1 -000004d0 : - 4d0: 0000006f j 4d0 +000004c8 : + 4c8: 0000006f j 4c8 -000004d4 : - 4d4: 00100d93 li s11,1 - 4d8: 00100d13 li s10,1 +000004cc : + 4cc: 1001d073 csrwi sstatus,3 -000004dc : - 4dc: 0000006f j 4dc +000004d0 : + 4d0: 0000006f j 4d0 ... Disassembly of section .tohost: @@ -390,14 +387,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-xor.mem b/tests/isa/generated/rv32ui-p-xor.mem index 0f87a04..acd12de 100644 --- a/tests/isa/generated/rv32ui-p-xor.mem +++ b/tests/isa/generated/rv32ui-p-xor.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 ff0100b7 f0008093 0f0f1137 @@ -303,12 +302,10 @@ f00e8e93 00000e93 01b00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ f00e8e93 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-xor.verilog b/tests/isa/generated/rv32ui-p-xor.verilog index b0cbd9e..b03635e 100755 --- a/tests/isa/generated/rv32ui-p-xor.verilog +++ b/tests/isa/generated/rv32ui-p-xor.verilog @@ -1,82 +1,82 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 CF 20 00 B7 FE 0F F0 -93 8E FE 00 93 01 20 00 63 10 DF 4B B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 CF 20 00 -B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1E DF 47 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 B7 1E F0 0F 93 8E 0E FF 93 01 40 00 -63 1C DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 CF 20 00 B7 0E FF 00 93 8E FE 0F -93 01 50 00 63 1A DF 43 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 B3 C0 20 00 B7 FE 0F F0 -93 8E FE 00 93 01 60 00 63 98 D0 41 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 C1 20 00 -B7 FE 0F F0 93 8E FE 00 93 01 70 00 63 16 D1 3F -B7 00 01 FF 93 80 00 F0 B3 C0 10 00 93 0E 00 00 -93 01 80 00 63 9A D0 3D 13 02 00 00 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 FE 0F F0 93 8E FE 00 93 01 90 00 63 1E D3 39 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 33 CF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF -93 8E 0E F0 93 01 A0 00 63 10 D3 37 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1C 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 B0 00 63 10 D3 33 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 FE 0F F0 93 8E FE 00 93 01 C0 00 63 16 DF 2F -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E 01 FF 93 8E 0E F0 -93 01 D0 00 63 1A DF 2B 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 E0 00 -63 1C DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 -13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +73 50 00 10 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 33 CF 20 00 B7 FE 0F F0 93 8E FE 00 +93 01 20 00 63 10 DF 4B B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 CF 20 00 B7 0E 01 FF +93 8E 0E F0 93 01 30 00 63 1E DF 47 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +B7 1E F0 0F 93 8E 0E FF 93 01 40 00 63 1C DF 45 +B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 13 01 01 0F +33 CF 20 00 B7 0E FF 00 93 8E FE 0F 93 01 50 00 +63 1A DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 B3 C0 20 00 B7 FE 0F F0 93 8E FE 00 +93 01 60 00 63 98 D0 41 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 C1 20 00 B7 FE 0F F0 +93 8E FE 00 93 01 70 00 63 16 D1 3F B7 00 01 FF +93 80 00 F0 B3 C0 10 00 93 0E 00 00 93 01 80 00 +63 9A D0 3D 13 02 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 CF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 -93 8E FE 00 93 01 F0 00 63 10 DF 25 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 +93 8E FE 00 93 01 90 00 63 1E D3 39 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 13 01 01 0F +33 CF 20 00 13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF 93 8E 0E F0 -93 01 00 01 63 12 DF 21 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F -13 01 F1 F0 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 10 01 -63 14 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 01 FF 93 80 00 F0 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 00 -93 01 20 01 63 1A DF 19 13 02 00 00 37 F1 F0 F0 -13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E 01 FF 93 8E 0E F0 93 01 30 01 63 1E DF 15 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 40 01 63 10 DF 13 13 02 00 00 -37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF -93 80 00 F0 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 50 01 -63 14 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F -13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +93 01 A0 00 63 10 D3 37 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 1C 52 FC B7 1E F0 0F 93 8E 0E FF +93 01 B0 00 63 10 D3 33 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 FE 0F F0 +93 8E FE 00 93 01 C0 00 63 16 DF 2F 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 13 01 01 0F +13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E 01 FF 93 8E 0E F0 93 01 D0 00 +63 1A DF 2B 13 02 00 00 B7 00 FF 00 93 80 F0 0F +37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E 01 FF 93 8E 0E F0 93 01 60 01 63 16 DF 0B -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 70 01 63 18 DF 07 B7 00 01 FF -93 80 00 F0 33 41 10 00 B7 0E 01 FF 93 8E 0E F0 -93 01 80 01 63 1A D1 05 B7 00 FF 00 93 80 F0 0F -33 C1 00 00 B7 0E FF 00 93 8E FE 0F 93 01 90 01 -63 1C D1 03 B3 40 00 00 93 0E 00 00 93 01 A0 01 -63 94 D0 03 B7 10 11 11 93 80 10 11 37 21 22 22 -13 01 21 22 33 C0 20 00 93 0E 00 00 93 01 B0 01 -63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +B7 1E F0 0F 93 8E 0E FF 93 01 E0 00 63 1C DF 27 +13 02 00 00 B7 00 01 FF 93 80 00 F0 13 00 00 00 +37 11 0F 0F 13 01 F1 F0 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00 +93 01 F0 00 63 10 DF 25 13 02 00 00 B7 10 F0 0F +93 80 00 FF 13 00 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E 01 FF 93 8E 0E F0 93 01 00 01 +63 12 DF 21 13 02 00 00 B7 00 FF 00 93 80 F0 0F +13 00 00 00 13 00 00 00 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 1E F0 0F 93 8E 0E FF 93 01 10 01 63 14 DF 1D +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 01 FF +93 80 00 F0 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 FE 0F F0 93 8E FE 00 93 01 20 01 +63 1A DF 19 13 02 00 00 37 F1 F0 F0 13 01 01 0F +B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E 01 FF +93 8E 0E F0 93 01 30 01 63 1E DF 15 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 93 80 F0 0F +13 00 00 00 13 00 00 00 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF +93 01 40 01 63 10 DF 13 13 02 00 00 37 11 0F 0F +13 01 F1 F0 13 00 00 00 B7 00 01 FF 93 80 00 F0 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 FE 0F F0 93 8E FE 00 93 01 50 01 63 14 DF 0F +13 02 00 00 37 F1 F0 F0 13 01 01 0F 13 00 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF +93 8E 0E F0 93 01 60 01 63 16 DF 0B 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00 +B7 00 FF 00 93 80 F0 0F 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF +93 01 70 01 63 18 DF 07 B7 00 01 FF 93 80 00 F0 +33 41 10 00 B7 0E 01 FF 93 8E 0E F0 93 01 80 01 +63 1A D1 05 B7 00 FF 00 93 80 F0 0F 33 C1 00 00 +B7 0E FF 00 93 8E FE 0F 93 01 90 01 63 1C D1 03 +B3 40 00 00 93 0E 00 00 93 01 A0 01 63 94 D0 03 +B7 10 11 11 93 80 10 11 37 21 22 22 13 01 21 22 +33 C0 20 00 93 0E 00 00 93 01 B0 01 63 14 D0 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-xori b/tests/isa/generated/rv32ui-p-xori index 3f704a048c5c6dc34f358d94ad51407a4409189c..9c5b12c231b6b27a5afbc9725d40ad21afefe72a 100755 GIT binary patch delta 381 zcmeyN^G9cb0%OWX#rym$#Q_Wgn>hp?G75+pFce>45Xfg>0Md*C`4bZ-%Q?ydc}1DU z$z=?T!ayc#QJJw(rcr?b0|z4)_ryfG$p?fi>REs?5WvV#2xPoqMDRhn6kuEiMg}P$ z+XjiR2xLbf@il<#5+uGpkUas3Zw6!^K;qjnFw`@AK@xBQvUQLg-~;4GAn`+h{0=03 z43NJEiJt=GpF!eR1Nk42_&GrS8zg=i1JL_l7$^S{&SeXANwYAH+?*>?&&Vh@`K_oj V7X~s_U|a@91}Pxh z0g0~&WXB-!HGu32B)&e7Jq3wx24o*W;@dJX)HD1*5^w>s4Uin*1LVgb@k4<89wa`< z@&icx6d?Zs62BVA|AEBM0rI~f@yi%kfFewj{|V=^CHtiXhZk%v6sc!ql$!ieRGD$f WWKl77#+1pnV(P4NqCl?$MTG(W8ZQ3; diff --git a/tests/isa/generated/rv32ui-p-xori.bin b/tests/isa/generated/rv32ui-p-xori.bin index 2d7b95fe2342812d57b9b446de488f058dd92733..c2089e41b3fac17acf89d99cf177f32cbef04783 100755 GIT binary patch delta 36 qcmeBR?O: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00ff10b7 lui ra,0xff1 - c: f0008093 addi ra,ra,-256 # ff0f00 - 10: f0f0cf13 xori t5,ra,-241 - 14: ff00feb7 lui t4,0xff00f - 18: 00fe8e93 addi t4,t4,15 # ff00f00f - 1c: 00200193 li gp,2 - 20: 1ddf1663 bne t5,t4,1ec +00000004 : + 4: 00ff10b7 lui ra,0xff1 + 8: f0008093 addi ra,ra,-256 # ff0f00 + c: f0f0cf13 xori t5,ra,-241 + 10: ff00feb7 lui t4,0xff00f + 14: 00fe8e93 addi t4,t4,15 # ff00f00f + 18: 00200193 li gp,2 + 1c: 1ddf1663 bne t5,t4,1e8 -00000024 : - 24: 0ff010b7 lui ra,0xff01 - 28: ff008093 addi ra,ra,-16 # ff00ff0 - 2c: 0f00cf13 xori t5,ra,240 - 30: 0ff01eb7 lui t4,0xff01 - 34: f00e8e93 addi t4,t4,-256 # ff00f00 - 38: 00300193 li gp,3 - 3c: 1bdf1863 bne t5,t4,1ec +00000020 : + 20: 0ff010b7 lui ra,0xff01 + 24: ff008093 addi ra,ra,-16 # ff00ff0 + 28: 0f00cf13 xori t5,ra,240 + 2c: 0ff01eb7 lui t4,0xff01 + 30: f00e8e93 addi t4,t4,-256 # ff00f00 + 34: 00300193 li gp,3 + 38: 1bdf1863 bne t5,t4,1e8 -00000040 : - 40: 00ff10b7 lui ra,0xff1 - 44: 8ff08093 addi ra,ra,-1793 # ff08ff - 48: 70f0cf13 xori t5,ra,1807 - 4c: 00ff1eb7 lui t4,0xff1 - 50: ff0e8e93 addi t4,t4,-16 # ff0ff0 - 54: 00400193 li gp,4 - 58: 19df1a63 bne t5,t4,1ec +0000003c : + 3c: 00ff10b7 lui ra,0xff1 + 40: 8ff08093 addi ra,ra,-1793 # ff08ff + 44: 70f0cf13 xori t5,ra,1807 + 48: 00ff1eb7 lui t4,0xff1 + 4c: ff0e8e93 addi t4,t4,-16 # ff0ff0 + 50: 00400193 li gp,4 + 54: 19df1a63 bne t5,t4,1e8 -0000005c : - 5c: f00ff0b7 lui ra,0xf00ff - 60: 00f08093 addi ra,ra,15 # f00ff00f - 64: 0f00cf13 xori t5,ra,240 - 68: f00ffeb7 lui t4,0xf00ff - 6c: 0ffe8e93 addi t4,t4,255 # f00ff0ff - 70: 00500193 li gp,5 - 74: 17df1c63 bne t5,t4,1ec +00000058 : + 58: f00ff0b7 lui ra,0xf00ff + 5c: 00f08093 addi ra,ra,15 # f00ff00f + 60: 0f00cf13 xori t5,ra,240 + 64: f00ffeb7 lui t4,0xf00ff + 68: 0ffe8e93 addi t4,t4,255 # f00ff0ff + 6c: 00500193 li gp,5 + 70: 17df1c63 bne t5,t4,1e8 -00000078 : - 78: ff00f0b7 lui ra,0xff00f - 7c: 70008093 addi ra,ra,1792 # ff00f700 - 80: 70f0c093 xori ra,ra,1807 - 84: ff00feb7 lui t4,0xff00f - 88: 00fe8e93 addi t4,t4,15 # ff00f00f - 8c: 00600193 li gp,6 - 90: 15d09e63 bne ra,t4,1ec +00000074 : + 74: ff00f0b7 lui ra,0xff00f + 78: 70008093 addi ra,ra,1792 # ff00f700 + 7c: 70f0c093 xori ra,ra,1807 + 80: ff00feb7 lui t4,0xff00f + 84: 00fe8e93 addi t4,t4,15 # ff00f00f + 88: 00600193 li gp,6 + 8c: 15d09e63 bne ra,t4,1e8 -00000094 : - 94: 00000213 li tp,0 - 98: 0ff010b7 lui ra,0xff01 - 9c: ff008093 addi ra,ra,-16 # ff00ff0 - a0: 0f00cf13 xori t5,ra,240 - a4: 000f0313 mv t1,t5 - a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - ac: 00200293 li t0,2 - b0: fe5214e3 bne tp,t0,98 - b4: 0ff01eb7 lui t4,0xff01 - b8: f00e8e93 addi t4,t4,-256 # ff00f00 - bc: 00700193 li gp,7 - c0: 13d31663 bne t1,t4,1ec +00000090 : + 90: 00000213 li tp,0 + 94: 0ff010b7 lui ra,0xff01 + 98: ff008093 addi ra,ra,-16 # ff00ff0 + 9c: 0f00cf13 xori t5,ra,240 + a0: 000f0313 mv t1,t5 + a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + a8: 00200293 li t0,2 + ac: fe5214e3 bne tp,t0,94 + b0: 0ff01eb7 lui t4,0xff01 + b4: f00e8e93 addi t4,t4,-256 # ff00f00 + b8: 00700193 li gp,7 + bc: 13d31663 bne t1,t4,1e8 -000000c4 : - c4: 00000213 li tp,0 - c8: 00ff10b7 lui ra,0xff1 - cc: 8ff08093 addi ra,ra,-1793 # ff08ff - d0: 70f0cf13 xori t5,ra,1807 - d4: 00000013 nop - d8: 000f0313 mv t1,t5 - dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> - e0: 00200293 li t0,2 - e4: fe5212e3 bne tp,t0,c8 - e8: 00ff1eb7 lui t4,0xff1 - ec: ff0e8e93 addi t4,t4,-16 # ff0ff0 - f0: 00800193 li gp,8 - f4: 0fd31c63 bne t1,t4,1ec +000000c0 : + c0: 00000213 li tp,0 + c4: 00ff10b7 lui ra,0xff1 + c8: 8ff08093 addi ra,ra,-1793 # ff08ff + cc: 70f0cf13 xori t5,ra,1807 + d0: 00000013 nop + d4: 000f0313 mv t1,t5 + d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> + dc: 00200293 li t0,2 + e0: fe5212e3 bne tp,t0,c4 + e4: 00ff1eb7 lui t4,0xff1 + e8: ff0e8e93 addi t4,t4,-16 # ff0ff0 + ec: 00800193 li gp,8 + f0: 0fd31c63 bne t1,t4,1e8 -000000f8 : - f8: 00000213 li tp,0 - fc: f00ff0b7 lui ra,0xf00ff - 100: 00f08093 addi ra,ra,15 # f00ff00f - 104: 0f00cf13 xori t5,ra,240 +000000f4 : + f4: 00000213 li tp,0 + f8: f00ff0b7 lui ra,0xf00ff + fc: 00f08093 addi ra,ra,15 # f00ff00f + 100: 0f00cf13 xori t5,ra,240 + 104: 00000013 nop 108: 00000013 nop - 10c: 00000013 nop - 110: 000f0313 mv t1,t5 - 114: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 118: 00200293 li t0,2 - 11c: fe5210e3 bne tp,t0,fc - 120: f00ffeb7 lui t4,0xf00ff - 124: 0ffe8e93 addi t4,t4,255 # f00ff0ff - 128: 00900193 li gp,9 - 12c: 0dd31063 bne t1,t4,1ec + 10c: 000f0313 mv t1,t5 + 110: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 114: 00200293 li t0,2 + 118: fe5210e3 bne tp,t0,f8 + 11c: f00ffeb7 lui t4,0xf00ff + 120: 0ffe8e93 addi t4,t4,255 # f00ff0ff + 124: 00900193 li gp,9 + 128: 0dd31063 bne t1,t4,1e8 -00000130 : - 130: 00000213 li tp,0 - 134: 0ff010b7 lui ra,0xff01 - 138: ff008093 addi ra,ra,-16 # ff00ff0 - 13c: 0f00cf13 xori t5,ra,240 - 140: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 144: 00200293 li t0,2 - 148: fe5216e3 bne tp,t0,134 - 14c: 0ff01eb7 lui t4,0xff01 - 150: f00e8e93 addi t4,t4,-256 # ff00f00 - 154: 00a00193 li gp,10 - 158: 09df1a63 bne t5,t4,1ec +0000012c : + 12c: 00000213 li tp,0 + 130: 0ff010b7 lui ra,0xff01 + 134: ff008093 addi ra,ra,-16 # ff00ff0 + 138: 0f00cf13 xori t5,ra,240 + 13c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 140: 00200293 li t0,2 + 144: fe5216e3 bne tp,t0,130 + 148: 0ff01eb7 lui t4,0xff01 + 14c: f00e8e93 addi t4,t4,-256 # ff00f00 + 150: 00a00193 li gp,10 + 154: 09df1a63 bne t5,t4,1e8 -0000015c : - 15c: 00000213 li tp,0 - 160: 00ff10b7 lui ra,0xff1 - 164: fff08093 addi ra,ra,-1 # ff0fff - 168: 00000013 nop - 16c: 00f0cf13 xori t5,ra,15 - 170: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 174: 00200293 li t0,2 - 178: fe5214e3 bne tp,t0,160 - 17c: 00ff1eb7 lui t4,0xff1 - 180: ff0e8e93 addi t4,t4,-16 # ff0ff0 - 184: 00b00193 li gp,11 - 188: 07df1263 bne t5,t4,1ec +00000158 : + 158: 00000213 li tp,0 + 15c: 00ff10b7 lui ra,0xff1 + 160: fff08093 addi ra,ra,-1 # ff0fff + 164: 00000013 nop + 168: 00f0cf13 xori t5,ra,15 + 16c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 170: 00200293 li t0,2 + 174: fe5214e3 bne tp,t0,15c + 178: 00ff1eb7 lui t4,0xff1 + 17c: ff0e8e93 addi t4,t4,-16 # ff0ff0 + 180: 00b00193 li gp,11 + 184: 07df1263 bne t5,t4,1e8 -0000018c : - 18c: 00000213 li tp,0 - 190: f00ff0b7 lui ra,0xf00ff - 194: 00f08093 addi ra,ra,15 # f00ff00f +00000188 : + 188: 00000213 li tp,0 + 18c: f00ff0b7 lui ra,0xf00ff + 190: 00f08093 addi ra,ra,15 # f00ff00f + 194: 00000013 nop 198: 00000013 nop - 19c: 00000013 nop - 1a0: 0f00cf13 xori t5,ra,240 - 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a8: 00200293 li t0,2 - 1ac: fe5212e3 bne tp,t0,190 - 1b0: f00ffeb7 lui t4,0xf00ff - 1b4: 0ffe8e93 addi t4,t4,255 # f00ff0ff - 1b8: 00c00193 li gp,12 - 1bc: 03df1863 bne t5,t4,1ec + 19c: 0f00cf13 xori t5,ra,240 + 1a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a4: 00200293 li t0,2 + 1a8: fe5212e3 bne tp,t0,18c + 1ac: f00ffeb7 lui t4,0xf00ff + 1b0: 0ffe8e93 addi t4,t4,255 # f00ff0ff + 1b4: 00c00193 li gp,12 + 1b8: 03df1863 bne t5,t4,1e8 -000001c0 : - 1c0: 0f004093 xori ra,zero,240 - 1c4: 0f000e93 li t4,240 - 1c8: 00d00193 li gp,13 - 1cc: 03d09063 bne ra,t4,1ec +000001bc : + 1bc: 0f004093 xori ra,zero,240 + 1c0: 0f000e93 li t4,240 + 1c4: 00d00193 li gp,13 + 1c8: 03d09063 bne ra,t4,1e8 -000001d0 : - 1d0: 00ff00b7 lui ra,0xff0 - 1d4: 0ff08093 addi ra,ra,255 # ff00ff - 1d8: 70f0c013 xori zero,ra,1807 - 1dc: 00000e93 li t4,0 - 1e0: 00e00193 li gp,14 - 1e4: 01d01463 bne zero,t4,1ec - 1e8: 00301863 bne zero,gp,1f8 +000001cc : + 1cc: 00ff00b7 lui ra,0xff0 + 1d0: 0ff08093 addi ra,ra,255 # ff00ff + 1d4: 70f0c013 xori zero,ra,1807 + 1d8: 00000e93 li t4,0 + 1dc: 00e00193 li gp,14 + 1e0: 01d01463 bne zero,t4,1e8 + 1e4: 00301663 bne zero,gp,1f0 -000001ec : - 1ec: 00000d93 li s11,0 - 1f0: 00100d13 li s10,1 +000001e8 : + 1e8: 1000d073 csrwi sstatus,1 -000001f4 : - 1f4: 0000006f j 1f4 +000001ec : + 1ec: 0000006f j 1ec -000001f8 : - 1f8: 00100d93 li s11,1 - 1fc: 00100d13 li s10,1 +000001f0 : + 1f0: 1001d073 csrwi sstatus,3 -00000200 : - 200: 0000006f j 200 +000001f4 : + 1f4: 0000006f j 1f4 + ... Disassembly of section .tohost: @@ -180,14 +178,16 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1941 addi s2,s2,-16 + 0: 1d41 addi s10,s10,-16 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0000000f fence unknown,unknown + 8: 01007663 bgeu zero,a6,14 + c: 00000013 nop 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) 14: 6932 flw fs2,12(sp) 16: 7032 flw ft0,44(sp) 18: 0030 addi a2,sp,8 + 1a: 0108 addi a0,sp,128 + 1c: 0b0a slli s6,s6,0x2 diff --git a/tests/isa/generated/rv32ui-p-xori.mem b/tests/isa/generated/rv32ui-p-xori.mem index 059eeb4..f17def5 100644 --- a/tests/isa/generated/rv32ui-p-xori.mem +++ b/tests/isa/generated/rv32ui-p-xori.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00ff10b7 f0008093 f0f0cf13 @@ -120,12 +119,10 @@ f00ffeb7 00000e93 00e00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -160,3 +157,6 @@ f00ffeb7 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-xori.verilog b/tests/isa/generated/rv32ui-p-xori.verilog index 2c51557..6de2126 100755 --- a/tests/isa/generated/rv32ui-p-xori.verilog +++ b/tests/isa/generated/rv32ui-p-xori.verilog @@ -1,37 +1,37 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 10 FF 00 93 80 00 F0 -13 CF F0 F0 B7 FE 00 FF 93 8E FE 00 93 01 20 00 -63 16 DF 1D B7 10 F0 0F 93 80 00 FF 13 CF 00 0F -B7 1E F0 0F 93 8E 0E F0 93 01 30 00 63 18 DF 1B -B7 10 FF 00 93 80 F0 8F 13 CF F0 70 B7 1E FF 00 -93 8E 0E FF 93 01 40 00 63 1A DF 19 B7 F0 0F F0 -93 80 F0 00 13 CF 00 0F B7 FE 0F F0 93 8E FE 0F -93 01 50 00 63 1C DF 17 B7 F0 00 FF 93 80 00 70 -93 C0 F0 70 B7 FE 00 FF 93 8E FE 00 93 01 60 00 -63 9E D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF -13 CF 00 0F 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 1E F0 0F 93 8E 0E F0 93 01 70 00 -63 16 D3 13 13 02 00 00 B7 10 FF 00 93 80 F0 8F -13 CF F0 70 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 1E FF 00 93 8E 0E FF -93 01 80 00 63 1C D3 0F 13 02 00 00 B7 F0 0F F0 -93 80 F0 00 13 CF 00 0F 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 FE 0F F0 93 8E FE 0F 93 01 90 00 63 10 D3 0D +73 50 00 10 B7 10 FF 00 93 80 00 F0 13 CF F0 F0 +B7 FE 00 FF 93 8E FE 00 93 01 20 00 63 16 DF 1D +B7 10 F0 0F 93 80 00 FF 13 CF 00 0F B7 1E F0 0F +93 8E 0E F0 93 01 30 00 63 18 DF 1B B7 10 FF 00 +93 80 F0 8F 13 CF F0 70 B7 1E FF 00 93 8E 0E FF +93 01 40 00 63 1A DF 19 B7 F0 0F F0 93 80 F0 00 +13 CF 00 0F B7 FE 0F F0 93 8E FE 0F 93 01 50 00 +63 1C DF 17 B7 F0 00 FF 93 80 00 70 93 C0 F0 70 +B7 FE 00 FF 93 8E FE 00 93 01 60 00 63 9E D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 CF 00 0F -13 02 12 00 93 02 20 00 E3 16 52 FE B7 1E F0 0F -93 8E 0E F0 93 01 A0 00 63 1A DF 09 13 02 00 00 -B7 10 FF 00 93 80 F0 FF 13 00 00 00 13 CF F0 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 1E FF 00 -93 8E 0E FF 93 01 B0 00 63 12 DF 07 13 02 00 00 -B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 -13 CF 00 0F 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 FE 0F F0 93 8E FE 0F 93 01 C0 00 63 18 DF 03 -93 40 00 0F 93 0E 00 0F 93 01 D0 00 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 C0 F0 70 93 0E 00 00 -93 01 E0 00 63 14 D0 01 63 18 30 00 93 0D 00 00 -13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 -6F 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 1E F0 0F 93 8E 0E F0 93 01 70 00 63 16 D3 13 +13 02 00 00 B7 10 FF 00 93 80 F0 8F 13 CF F0 70 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 1E FF 00 93 8E 0E FF 93 01 80 00 +63 1C D3 0F 13 02 00 00 B7 F0 0F F0 93 80 F0 00 +13 CF 00 0F 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 +93 8E FE 0F 93 01 90 00 63 10 D3 0D 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 CF 00 0F 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 1E F0 0F 93 8E 0E F0 +93 01 A0 00 63 1A DF 09 13 02 00 00 B7 10 FF 00 +93 80 F0 FF 13 00 00 00 13 CF F0 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 1E FF 00 93 8E 0E FF +93 01 B0 00 63 12 DF 07 13 02 00 00 B7 F0 0F F0 +93 80 F0 00 13 00 00 00 13 00 00 00 13 CF 00 0F +13 02 12 00 93 02 20 00 E3 12 52 FE B7 FE 0F F0 +93 8E FE 0F 93 01 C0 00 63 18 DF 03 93 40 00 0F +93 0E 00 0F 93 01 D0 00 63 90 D0 03 B7 00 FF 00 +93 80 F0 0F 13 C0 F0 70 93 0E 00 00 93 01 E0 00 +63 14 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00 +73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 @00000240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-div b/tests/isa/generated/rv32um-p-div index 52f8fa64e424ffc4f05fd856d97ad340f818de89..102faa97ae73d9af94205d094eeb5711d9e0a104 100755 GIT binary patch delta 323 zcmbQEwLoiv0wc#p#rym$#Q_WglQ{%#3Wymn6klKv$Y)>x(u@N66BB1EJ1PNrMVZCP zWekiGKqhNZnXyr(QGr2xE|6g0VC3SSm?%5>h@eG13s4#Y7#RYAj0aF20|O(2Fpw>S z#FqlHO_2DCK(-GOUjxWaLE`HJ*)>RfGa!2k65p1A!HHoFl7I`4eFTXg4P?JS;)5)E zg2WF4vfoVJE0o7po@eNsp0U|bIG&MFdGbUNWyUp=w~DAU>P)^XqRx5@=o1H^s4xI3 CJuPDZ delta 345 zcmZ3WHAicL0wc>t#rymm!n_O&lR=n8;HHFx0hle!E5MM?01_8q0JA41_RBfS0Y!>3 zi<8S37)5|g)}k_FqfDa$gZNw^F)>kY@(DqUdJdor1TZoL0vS(WTn0u4VIW%pi7y3Y zTOjcjf$RVzz6Ow;fyCDbvKx^2WCBBmoy7`vej{8p!^D#P_Ql%G6RM455LKAYlOpFni+1egLQ*2uuI~ diff --git a/tests/isa/generated/rv32um-p-div.dump b/tests/isa/generated/rv32um-p-div.dump index e906f47..7164a39 100644 --- a/tests/isa/generated/rv32um-p-div.dump +++ b/tests/isa/generated/rv32um-p-div.dump @@ -5,95 +5,92 @@ generated/rv32um-p-div: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 01400093 li ra,20 - c: 00600113 li sp,6 - 10: 0220cf33 div t5,ra,sp - 14: 00300e93 li t4,3 - 18: 00200193 li gp,2 - 1c: 0ddf1463 bne t5,t4,e4 +00000004 : + 4: 01400093 li ra,20 + 8: 00600113 li sp,6 + c: 0220cf33 div t5,ra,sp + 10: 00300e93 li t4,3 + 14: 00200193 li gp,2 + 18: 0ddf1463 bne t5,t4,e0 -00000020 : - 20: fec00093 li ra,-20 - 24: 00600113 li sp,6 - 28: 0220cf33 div t5,ra,sp - 2c: ffd00e93 li t4,-3 - 30: 00300193 li gp,3 - 34: 0bdf1863 bne t5,t4,e4 +0000001c : + 1c: fec00093 li ra,-20 + 20: 00600113 li sp,6 + 24: 0220cf33 div t5,ra,sp + 28: ffd00e93 li t4,-3 + 2c: 00300193 li gp,3 + 30: 0bdf1863 bne t5,t4,e0 -00000038 : - 38: 01400093 li ra,20 - 3c: ffa00113 li sp,-6 - 40: 0220cf33 div t5,ra,sp - 44: ffd00e93 li t4,-3 - 48: 00400193 li gp,4 - 4c: 09df1c63 bne t5,t4,e4 +00000034 : + 34: 01400093 li ra,20 + 38: ffa00113 li sp,-6 + 3c: 0220cf33 div t5,ra,sp + 40: ffd00e93 li t4,-3 + 44: 00400193 li gp,4 + 48: 09df1c63 bne t5,t4,e0 -00000050 : - 50: fec00093 li ra,-20 - 54: ffa00113 li sp,-6 - 58: 0220cf33 div t5,ra,sp - 5c: 00300e93 li t4,3 - 60: 00500193 li gp,5 - 64: 09df1063 bne t5,t4,e4 +0000004c : + 4c: fec00093 li ra,-20 + 50: ffa00113 li sp,-6 + 54: 0220cf33 div t5,ra,sp + 58: 00300e93 li t4,3 + 5c: 00500193 li gp,5 + 60: 09df1063 bne t5,t4,e0 -00000068 : - 68: 00000093 li ra,0 - 6c: 00100113 li sp,1 - 70: 0220cf33 div t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 07df1463 bne t5,t4,e4 +00000064 : + 64: 00000093 li ra,0 + 68: 00100113 li sp,1 + 6c: 0220cf33 div t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 07df1463 bne t5,t4,e0 -00000080 : - 80: 00000093 li ra,0 - 84: fff00113 li sp,-1 - 88: 0220cf33 div t5,ra,sp - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 05df1863 bne t5,t4,e4 +0000007c : + 7c: 00000093 li ra,0 + 80: fff00113 li sp,-1 + 84: 0220cf33 div t5,ra,sp + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 05df1863 bne t5,t4,e0 -00000098 : - 98: 00000093 li ra,0 - 9c: 00000113 li sp,0 - a0: 0220cf33 div t5,ra,sp - a4: fff00e93 li t4,-1 - a8: 00800193 li gp,8 - ac: 03df1c63 bne t5,t4,e4 +00000094 : + 94: 00000093 li ra,0 + 98: 00000113 li sp,0 + 9c: 0220cf33 div t5,ra,sp + a0: fff00e93 li t4,-1 + a4: 00800193 li gp,8 + a8: 03df1c63 bne t5,t4,e0 -000000b0 : - b0: 00100093 li ra,1 - b4: 00000113 li sp,0 - b8: 0220cf33 div t5,ra,sp - bc: fff00e93 li t4,-1 - c0: 00900193 li gp,9 - c4: 03df1063 bne t5,t4,e4 +000000ac : + ac: 00100093 li ra,1 + b0: 00000113 li sp,0 + b4: 0220cf33 div t5,ra,sp + b8: fff00e93 li t4,-1 + bc: 00900193 li gp,9 + c0: 03df1063 bne t5,t4,e0 -000000c8 : - c8: 00000093 li ra,0 - cc: 00000113 li sp,0 - d0: 0220cf33 div t5,ra,sp - d4: fff00e93 li t4,-1 - d8: 00a00193 li gp,10 - dc: 01df1463 bne t5,t4,e4 - e0: 00301863 bne zero,gp,f0 +000000c4 : + c4: 00000093 li ra,0 + c8: 00000113 li sp,0 + cc: 0220cf33 div t5,ra,sp + d0: fff00e93 li t4,-1 + d4: 00a00193 li gp,10 + d8: 01df1463 bne t5,t4,e0 + dc: 00301663 bne zero,gp,e8 -000000e4 : - e4: 00000d93 li s11,0 - e8: 00100d13 li s10,1 +000000e0 : + e0: 1000d073 csrwi sstatus,1 -000000ec : - ec: 0000006f j ec +000000e4 : + e4: 0000006f j e4 -000000f0 : - f0: 00100d93 li s11,1 - f4: 00100d13 li s10,1 +000000e8 : + e8: 1001d073 csrwi sstatus,3 -000000f8 : - f8: 0000006f j f8 +000000ec : + ec: 0000006f j ec ... Disassembly of section .tohost: @@ -107,12 +104,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -121,4 +118,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-div.mem b/tests/isa/generated/rv32um-p-div.mem index 2f0240c..85d9f8a 100644 --- a/tests/isa/generated/rv32um-p-div.mem +++ b/tests/isa/generated/rv32um-p-div.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 01400093 00600113 0220cf33 @@ -54,12 +53,10 @@ fff00e93 fff00e93 00a00193 01df1463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -96,3 +93,6 @@ fff00e93 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-div.verilog b/tests/isa/generated/rv32um-p-div.verilog index ef02e10..5a0bf43 100755 --- a/tests/isa/generated/rv32um-p-div.verilog +++ b/tests/isa/generated/rv32um-p-div.verilog @@ -1,20 +1,20 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 CF 20 02 93 0E 30 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 CF 20 02 93 0E D0 FF -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 CF 20 02 93 0E D0 FF 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 CF 20 02 93 0E 30 00 -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 CF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 CF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 CF 20 02 93 0E F0 FF 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 CF 20 02 93 0E F0 FF -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 CF 20 02 93 0E F0 FF 93 01 A0 00 63 14 DF 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 93 00 40 01 13 01 60 00 33 CF 20 02 +93 0E 30 00 93 01 20 00 63 14 DF 0D 93 00 C0 FE +13 01 60 00 33 CF 20 02 93 0E D0 FF 93 01 30 00 +63 18 DF 0B 93 00 40 01 13 01 A0 FF 33 CF 20 02 +93 0E D0 FF 93 01 40 00 63 1C DF 09 93 00 C0 FE +13 01 A0 FF 33 CF 20 02 93 0E 30 00 93 01 50 00 +63 10 DF 09 93 00 00 00 13 01 10 00 33 CF 20 02 +93 0E 00 00 93 01 60 00 63 14 DF 07 93 00 00 00 +13 01 F0 FF 33 CF 20 02 93 0E 00 00 93 01 70 00 +63 18 DF 05 93 00 00 00 13 01 00 00 33 CF 20 02 +93 0E F0 FF 93 01 80 00 63 1C DF 03 93 00 10 00 +13 01 00 00 33 CF 20 02 93 0E F0 FF 93 01 90 00 +63 10 DF 03 93 00 00 00 13 01 00 00 33 CF 20 02 +93 0E F0 FF 93 01 A0 00 63 14 DF 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-divu b/tests/isa/generated/rv32um-p-divu index ca0f3ba6136d7924d655b2b67bd3f9ebb748423a..77e88a6aa7f9d480e144fc5f43fb0c6d9983cafc 100755 GIT binary patch delta 323 zcmbQEwLoiv0wc#p#rym$#Q_WglQ{(L3Wymn6klKv$Y)>x(u@N66BDN^J1PNrMVZCP zWekiGKqhNZnXyr(QGr2xE|6g0VC3SSm?%5>h@eG13s4#Y7#RYAj3+QI10#blkS&A6 zmjbdakobx~b^sDz1IW%m;_Cz14M==5AbSQ9-3-At3Svo;$F$1^f2Po5~E%(!OqRuOeZoym7a)LD-Kec}KV6$St} Cw=G`) delta 345 zcmZ3WHAicL0wc>t#rymm!n_O&lR=n8;I4#(0hle!E5MM?01_8q0JA41cFQ@+0Y!>3 zi<8S37)5|g)}k_FqfDa$gZNw^F)>kY@(DqUdJdor1TZoL0vRu0Tn0u4VIW%pi7y3Y z+aU23f$R_@z6Ow;gT&VdvRjb&WCRBmoy7`wS948p!^F#P_Ql%G6RM455LKAYlOp5PRZ>9sr=`2iyPv diff --git a/tests/isa/generated/rv32um-p-divu.dump b/tests/isa/generated/rv32um-p-divu.dump index 9b3c462..739f2b9 100644 --- a/tests/isa/generated/rv32um-p-divu.dump +++ b/tests/isa/generated/rv32um-p-divu.dump @@ -5,97 +5,93 @@ generated/rv32um-p-divu: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 01400093 li ra,20 - c: 00600113 li sp,6 - 10: 0220df33 divu t5,ra,sp - 14: 00300e93 li t4,3 - 18: 00200193 li gp,2 - 1c: 0ddf1663 bne t5,t4,e8 +00000004 : + 4: 01400093 li ra,20 + 8: 00600113 li sp,6 + c: 0220df33 divu t5,ra,sp + 10: 00300e93 li t4,3 + 14: 00200193 li gp,2 + 18: 0ddf1663 bne t5,t4,e4 -00000020 : - 20: fec00093 li ra,-20 - 24: 00600113 li sp,6 - 28: 0220df33 divu t5,ra,sp - 2c: 2aaabeb7 lui t4,0x2aaab - 30: aa7e8e93 addi t4,t4,-1369 # 2aaaaaa7 - 34: 00300193 li gp,3 - 38: 0bdf1863 bne t5,t4,e8 +0000001c : + 1c: fec00093 li ra,-20 + 20: 00600113 li sp,6 + 24: 0220df33 divu t5,ra,sp + 28: 2aaabeb7 lui t4,0x2aaab + 2c: aa7e8e93 addi t4,t4,-1369 # 2aaaaaa7 + 30: 00300193 li gp,3 + 34: 0bdf1863 bne t5,t4,e4 -0000003c : - 3c: 01400093 li ra,20 - 40: ffa00113 li sp,-6 - 44: 0220df33 divu t5,ra,sp - 48: 00000e93 li t4,0 - 4c: 00400193 li gp,4 - 50: 09df1c63 bne t5,t4,e8 +00000038 : + 38: 01400093 li ra,20 + 3c: ffa00113 li sp,-6 + 40: 0220df33 divu t5,ra,sp + 44: 00000e93 li t4,0 + 48: 00400193 li gp,4 + 4c: 09df1c63 bne t5,t4,e4 -00000054 : - 54: fec00093 li ra,-20 - 58: ffa00113 li sp,-6 - 5c: 0220df33 divu t5,ra,sp - 60: 00000e93 li t4,0 - 64: 00500193 li gp,5 - 68: 09df1063 bne t5,t4,e8 +00000050 : + 50: fec00093 li ra,-20 + 54: ffa00113 li sp,-6 + 58: 0220df33 divu t5,ra,sp + 5c: 00000e93 li t4,0 + 60: 00500193 li gp,5 + 64: 09df1063 bne t5,t4,e4 -0000006c : - 6c: 800000b7 lui ra,0x80000 - 70: 00100113 li sp,1 - 74: 0220df33 divu t5,ra,sp - 78: 80000eb7 lui t4,0x80000 - 7c: 00600193 li gp,6 - 80: 07df1463 bne t5,t4,e8 +00000068 : + 68: 800000b7 lui ra,0x80000 + 6c: 00100113 li sp,1 + 70: 0220df33 divu t5,ra,sp + 74: 80000eb7 lui t4,0x80000 + 78: 00600193 li gp,6 + 7c: 07df1463 bne t5,t4,e4 -00000084 : - 84: 800000b7 lui ra,0x80000 - 88: fff00113 li sp,-1 - 8c: 0220df33 divu t5,ra,sp - 90: 00000e93 li t4,0 - 94: 00700193 li gp,7 - 98: 05df1863 bne t5,t4,e8 +00000080 : + 80: 800000b7 lui ra,0x80000 + 84: fff00113 li sp,-1 + 88: 0220df33 divu t5,ra,sp + 8c: 00000e93 li t4,0 + 90: 00700193 li gp,7 + 94: 05df1863 bne t5,t4,e4 -0000009c : - 9c: 800000b7 lui ra,0x80000 - a0: 00000113 li sp,0 - a4: 0220df33 divu t5,ra,sp - a8: fff00e93 li t4,-1 - ac: 00800193 li gp,8 - b0: 03df1c63 bne t5,t4,e8 +00000098 : + 98: 800000b7 lui ra,0x80000 + 9c: 00000113 li sp,0 + a0: 0220df33 divu t5,ra,sp + a4: fff00e93 li t4,-1 + a8: 00800193 li gp,8 + ac: 03df1c63 bne t5,t4,e4 -000000b4 : - b4: 00100093 li ra,1 - b8: 00000113 li sp,0 - bc: 0220df33 divu t5,ra,sp - c0: fff00e93 li t4,-1 - c4: 00900193 li gp,9 - c8: 03df1063 bne t5,t4,e8 +000000b0 : + b0: 00100093 li ra,1 + b4: 00000113 li sp,0 + b8: 0220df33 divu t5,ra,sp + bc: fff00e93 li t4,-1 + c0: 00900193 li gp,9 + c4: 03df1063 bne t5,t4,e4 -000000cc : - cc: 00000093 li ra,0 - d0: 00000113 li sp,0 - d4: 0220df33 divu t5,ra,sp - d8: fff00e93 li t4,-1 - dc: 00a00193 li gp,10 - e0: 01df1463 bne t5,t4,e8 - e4: 00301863 bne zero,gp,f4 +000000c8 : + c8: 00000093 li ra,0 + cc: 00000113 li sp,0 + d0: 0220df33 divu t5,ra,sp + d4: fff00e93 li t4,-1 + d8: 00a00193 li gp,10 + dc: 01df1463 bne t5,t4,e4 + e0: 00301663 bne zero,gp,ec -000000e8 : - e8: 00000d93 li s11,0 - ec: 00100d13 li s10,1 +000000e4 : + e4: 1000d073 csrwi sstatus,1 -000000f0 : - f0: 0000006f j f0 +000000e8 : + e8: 0000006f j e8 -000000f4 : - f4: 00100d93 li s11,1 - f8: 00100d13 li s10,1 +000000ec : + ec: 1001d073 csrwi sstatus,3 -000000fc : - fc: 0000006f j fc - 100: 0000 unimp +000000f0 : + f0: 0000006f j f0 ... Disassembly of section .tohost: @@ -109,12 +105,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -123,4 +119,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-divu.mem b/tests/isa/generated/rv32um-p-divu.mem index 0686389..17572b4 100644 --- a/tests/isa/generated/rv32um-p-divu.mem +++ b/tests/isa/generated/rv32um-p-divu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 01400093 00600113 0220df33 @@ -55,12 +54,10 @@ fff00e93 fff00e93 00a00193 01df1463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -96,3 +93,6 @@ fff00e93 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-divu.verilog b/tests/isa/generated/rv32um-p-divu.verilog index 4de3251..b4308e9 100755 --- a/tests/isa/generated/rv32um-p-divu.verilog +++ b/tests/isa/generated/rv32um-p-divu.verilog @@ -1,20 +1,20 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 DF 20 02 93 0E 30 00 93 01 20 00 63 16 DF 0D -93 00 C0 FE 13 01 60 00 33 DF 20 02 B7 BE AA 2A -93 8E 7E AA 93 01 30 00 63 18 DF 0B 93 00 40 01 -13 01 A0 FF 33 DF 20 02 93 0E 00 00 93 01 40 00 -63 1C DF 09 93 00 C0 FE 13 01 A0 FF 33 DF 20 02 -93 0E 00 00 93 01 50 00 63 10 DF 09 B7 00 00 80 -13 01 10 00 33 DF 20 02 B7 0E 00 80 93 01 60 00 -63 14 DF 07 B7 00 00 80 13 01 F0 FF 33 DF 20 02 -93 0E 00 00 93 01 70 00 63 18 DF 05 B7 00 00 80 -13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 80 00 -63 1C DF 03 93 00 10 00 13 01 00 00 33 DF 20 02 -93 0E F0 FF 93 01 90 00 63 10 DF 03 93 00 00 00 -13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 A0 00 -63 14 DF 01 63 18 30 00 93 0D 00 00 13 0D 10 00 -6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +73 50 00 10 93 00 40 01 13 01 60 00 33 DF 20 02 +93 0E 30 00 93 01 20 00 63 16 DF 0D 93 00 C0 FE +13 01 60 00 33 DF 20 02 B7 BE AA 2A 93 8E 7E AA +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 DF 20 02 93 0E 00 00 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 DF 20 02 93 0E 00 00 +93 01 50 00 63 10 DF 09 B7 00 00 80 13 01 10 00 +33 DF 20 02 B7 0E 00 80 93 01 60 00 63 14 DF 07 +B7 00 00 80 13 01 F0 FF 33 DF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 B7 00 00 80 13 01 00 00 +33 DF 20 02 93 0E F0 FF 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 DF 20 02 93 0E F0 FF +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 DF 20 02 93 0E F0 FF 93 01 A0 00 63 14 DF 01 +63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mul b/tests/isa/generated/rv32um-p-mul index d69092421f82129b38ac8bc9b155d19ce8d604f2..eed8224e2350d291d76adf138d3e4a53421147be 100755 GIT binary patch delta 769 zcmYk(KS)AR6bA5f?G;i%53&+8s1*7`gejpz5kW*lNJB(ZA(Y$3PEK{Ml}dZlC^!A(Ad zSIH50k9+~2k&|$Se1r3)K!Xe(sF1Vp8`-=~hg^W&7PM|DDPlb!o436sSKt!4iu0vK z1M_QG`_Q_jq>eQ}Zo;Qz^K0eEUvQP&hTG&0Y}e4br6m20uuTIEx4R+_!&$Noejq#H zXR;4&lFi@MATPo1|29(g@IWfK8S$@_Kka^tav6EYsIlnKRmoU$%&L}gf*v&P91}m? C)l2ID delta 772 zcmYk&KS)AR6bA5f?G+A6f<_NCD-5!TFdcLVN`i)nXo`p^q=s@2 z2A*5Z@vP~3z=YH8kZq}NIK<5P*o8Oh#UvTuSxJd)DcNr zvC}9e14`sYYr6i{&_6|q2YHt4LtZBjA|I1SkWa}GIj2De2kyyPxJ)juexf04gokA`NJlJqaaG!5#%`;csdb7U7> zBzxdHatN-F``|b7FkEZeIM7$yVhj5dd%L$Edf1}6jV`xOT^dn$zj`y`=u<}C-T4PD CE=s5X diff --git a/tests/isa/generated/rv32um-p-mul.bin b/tests/isa/generated/rv32um-p-mul.bin index 285213aaac72b9386d06a8f6b16505a75dad27fb..ffc50c2131cc921b71775f905068c8a6edeedc53 100755 GIT binary patch delta 37 rcmeC+?%-xA4qy=2$Z?cKK+J%l_yU7KJ_7@gW)#Su{E=n)BvuIkw8RQ2 delta 46 rcmeC+?%?JS=4D`*48$8*j: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 000080b7 lui ra,0x8 - c: e0008093 addi ra,ra,-512 # 7e00 - 10: b6db7137 lui sp,0xb6db7 - 14: db710113 addi sp,sp,-585 # b6db6db7 - 18: 02208f33 mul t5,ra,sp - 1c: 00001eb7 lui t4,0x1 - 20: 200e8e93 addi t4,t4,512 # 1200 - 24: 02000193 li gp,32 - 28: 4bdf1463 bne t5,t4,4d0 +00000004 : + 4: 000080b7 lui ra,0x8 + 8: e0008093 addi ra,ra,-512 # 7e00 + c: b6db7137 lui sp,0xb6db7 + 10: db710113 addi sp,sp,-585 # b6db6db7 + 14: 02208f33 mul t5,ra,sp + 18: 00001eb7 lui t4,0x1 + 1c: 200e8e93 addi t4,t4,512 # 1200 + 20: 02000193 li gp,32 + 24: 4bdf1463 bne t5,t4,4cc -0000002c : - 2c: 000080b7 lui ra,0x8 - 30: fc008093 addi ra,ra,-64 # 7fc0 - 34: b6db7137 lui sp,0xb6db7 - 38: db710113 addi sp,sp,-585 # b6db6db7 - 3c: 02208f33 mul t5,ra,sp - 40: 00001eb7 lui t4,0x1 - 44: 240e8e93 addi t4,t4,576 # 1240 - 48: 02100193 li gp,33 - 4c: 49df1263 bne t5,t4,4d0 +00000028 : + 28: 000080b7 lui ra,0x8 + 2c: fc008093 addi ra,ra,-64 # 7fc0 + 30: b6db7137 lui sp,0xb6db7 + 34: db710113 addi sp,sp,-585 # b6db6db7 + 38: 02208f33 mul t5,ra,sp + 3c: 00001eb7 lui t4,0x1 + 40: 240e8e93 addi t4,t4,576 # 1240 + 44: 02100193 li gp,33 + 48: 49df1263 bne t5,t4,4cc -00000050 : - 50: 00000093 li ra,0 - 54: 00000113 li sp,0 - 58: 02208f33 mul t5,ra,sp - 5c: 00000e93 li t4,0 - 60: 00200193 li gp,2 - 64: 47df1663 bne t5,t4,4d0 +0000004c : + 4c: 00000093 li ra,0 + 50: 00000113 li sp,0 + 54: 02208f33 mul t5,ra,sp + 58: 00000e93 li t4,0 + 5c: 00200193 li gp,2 + 60: 47df1663 bne t5,t4,4cc -00000068 : - 68: 00100093 li ra,1 - 6c: 00100113 li sp,1 - 70: 02208f33 mul t5,ra,sp - 74: 00100e93 li t4,1 - 78: 00300193 li gp,3 - 7c: 45df1a63 bne t5,t4,4d0 +00000064 : + 64: 00100093 li ra,1 + 68: 00100113 li sp,1 + 6c: 02208f33 mul t5,ra,sp + 70: 00100e93 li t4,1 + 74: 00300193 li gp,3 + 78: 45df1a63 bne t5,t4,4cc -00000080 : - 80: 00300093 li ra,3 - 84: 00700113 li sp,7 - 88: 02208f33 mul t5,ra,sp - 8c: 01500e93 li t4,21 - 90: 00400193 li gp,4 - 94: 43df1e63 bne t5,t4,4d0 +0000007c : + 7c: 00300093 li ra,3 + 80: 00700113 li sp,7 + 84: 02208f33 mul t5,ra,sp + 88: 01500e93 li t4,21 + 8c: 00400193 li gp,4 + 90: 43df1e63 bne t5,t4,4cc -00000098 : - 98: 00000093 li ra,0 - 9c: ffff8137 lui sp,0xffff8 - a0: 02208f33 mul t5,ra,sp - a4: 00000e93 li t4,0 - a8: 00500193 li gp,5 - ac: 43df1263 bne t5,t4,4d0 +00000094 : + 94: 00000093 li ra,0 + 98: ffff8137 lui sp,0xffff8 + 9c: 02208f33 mul t5,ra,sp + a0: 00000e93 li t4,0 + a4: 00500193 li gp,5 + a8: 43df1263 bne t5,t4,4cc -000000b0 : - b0: 800000b7 lui ra,0x80000 - b4: 00000113 li sp,0 - b8: 02208f33 mul t5,ra,sp - bc: 00000e93 li t4,0 - c0: 00600193 li gp,6 - c4: 41df1663 bne t5,t4,4d0 +000000ac : + ac: 800000b7 lui ra,0x80000 + b0: 00000113 li sp,0 + b4: 02208f33 mul t5,ra,sp + b8: 00000e93 li t4,0 + bc: 00600193 li gp,6 + c0: 41df1663 bne t5,t4,4cc -000000c8 : - c8: 800000b7 lui ra,0x80000 - cc: ffff8137 lui sp,0xffff8 - d0: 02208f33 mul t5,ra,sp - d4: 00000e93 li t4,0 - d8: 00700193 li gp,7 - dc: 3fdf1a63 bne t5,t4,4d0 +000000c4 : + c4: 800000b7 lui ra,0x80000 + c8: ffff8137 lui sp,0xffff8 + cc: 02208f33 mul t5,ra,sp + d0: 00000e93 li t4,0 + d4: 00700193 li gp,7 + d8: 3fdf1a63 bne t5,t4,4cc -000000e0 : - e0: aaaab0b7 lui ra,0xaaaab - e4: aab08093 addi ra,ra,-1365 # aaaaaaab - e8: 00030137 lui sp,0x30 - ec: e7d10113 addi sp,sp,-387 # 2fe7d - f0: 02208f33 mul t5,ra,sp - f4: 00010eb7 lui t4,0x10 - f8: f7fe8e93 addi t4,t4,-129 # ff7f - fc: 01e00193 li gp,30 - 100: 3ddf1863 bne t5,t4,4d0 +000000dc : + dc: aaaab0b7 lui ra,0xaaaab + e0: aab08093 addi ra,ra,-1365 # aaaaaaab + e4: 00030137 lui sp,0x30 + e8: e7d10113 addi sp,sp,-387 # 2fe7d + ec: 02208f33 mul t5,ra,sp + f0: 00010eb7 lui t4,0x10 + f4: f7fe8e93 addi t4,t4,-129 # ff7f + f8: 01e00193 li gp,30 + fc: 3ddf1863 bne t5,t4,4cc -00000104 : - 104: 000300b7 lui ra,0x30 - 108: e7d08093 addi ra,ra,-387 # 2fe7d - 10c: aaaab137 lui sp,0xaaaab - 110: aab10113 addi sp,sp,-1365 # aaaaaaab - 114: 02208f33 mul t5,ra,sp - 118: 00010eb7 lui t4,0x10 - 11c: f7fe8e93 addi t4,t4,-129 # ff7f - 120: 01f00193 li gp,31 - 124: 3bdf1663 bne t5,t4,4d0 +00000100 : + 100: 000300b7 lui ra,0x30 + 104: e7d08093 addi ra,ra,-387 # 2fe7d + 108: aaaab137 lui sp,0xaaaab + 10c: aab10113 addi sp,sp,-1365 # aaaaaaab + 110: 02208f33 mul t5,ra,sp + 114: 00010eb7 lui t4,0x10 + 118: f7fe8e93 addi t4,t4,-129 # ff7f + 11c: 01f00193 li gp,31 + 120: 3bdf1663 bne t5,t4,4cc -00000128 : - 128: ff0000b7 lui ra,0xff000 - 12c: ff000137 lui sp,0xff000 - 130: 02208f33 mul t5,ra,sp - 134: 00000e93 li t4,0 - 138: 02200193 li gp,34 - 13c: 39df1a63 bne t5,t4,4d0 +00000124 : + 124: ff0000b7 lui ra,0xff000 + 128: ff000137 lui sp,0xff000 + 12c: 02208f33 mul t5,ra,sp + 130: 00000e93 li t4,0 + 134: 02200193 li gp,34 + 138: 39df1a63 bne t5,t4,4cc -00000140 : - 140: fff00093 li ra,-1 - 144: fff00113 li sp,-1 - 148: 02208f33 mul t5,ra,sp - 14c: 00100e93 li t4,1 - 150: 02300193 li gp,35 - 154: 37df1e63 bne t5,t4,4d0 +0000013c : + 13c: fff00093 li ra,-1 + 140: fff00113 li sp,-1 + 144: 02208f33 mul t5,ra,sp + 148: 00100e93 li t4,1 + 14c: 02300193 li gp,35 + 150: 37df1e63 bne t5,t4,4cc -00000158 : - 158: fff00093 li ra,-1 - 15c: 00100113 li sp,1 - 160: 02208f33 mul t5,ra,sp - 164: fff00e93 li t4,-1 - 168: 02400193 li gp,36 - 16c: 37df1263 bne t5,t4,4d0 +00000154 : + 154: fff00093 li ra,-1 + 158: 00100113 li sp,1 + 15c: 02208f33 mul t5,ra,sp + 160: fff00e93 li t4,-1 + 164: 02400193 li gp,36 + 168: 37df1263 bne t5,t4,4cc -00000170 : - 170: 00100093 li ra,1 - 174: fff00113 li sp,-1 - 178: 02208f33 mul t5,ra,sp - 17c: fff00e93 li t4,-1 - 180: 02500193 li gp,37 - 184: 35df1663 bne t5,t4,4d0 +0000016c : + 16c: 00100093 li ra,1 + 170: fff00113 li sp,-1 + 174: 02208f33 mul t5,ra,sp + 178: fff00e93 li t4,-1 + 17c: 02500193 li gp,37 + 180: 35df1663 bne t5,t4,4cc -00000188 : - 188: 00d00093 li ra,13 - 18c: 00b00113 li sp,11 - 190: 022080b3 mul ra,ra,sp - 194: 08f00e93 li t4,143 - 198: 00800193 li gp,8 - 19c: 33d09a63 bne ra,t4,4d0 +00000184 : + 184: 00d00093 li ra,13 + 188: 00b00113 li sp,11 + 18c: 022080b3 mul ra,ra,sp + 190: 08f00e93 li t4,143 + 194: 00800193 li gp,8 + 198: 33d09a63 bne ra,t4,4cc -000001a0 : - 1a0: 00e00093 li ra,14 - 1a4: 00b00113 li sp,11 - 1a8: 02208133 mul sp,ra,sp - 1ac: 09a00e93 li t4,154 - 1b0: 00900193 li gp,9 - 1b4: 31d11e63 bne sp,t4,4d0 +0000019c : + 19c: 00e00093 li ra,14 + 1a0: 00b00113 li sp,11 + 1a4: 02208133 mul sp,ra,sp + 1a8: 09a00e93 li t4,154 + 1ac: 00900193 li gp,9 + 1b0: 31d11e63 bne sp,t4,4cc -000001b8 : - 1b8: 00d00093 li ra,13 - 1bc: 021080b3 mul ra,ra,ra - 1c0: 0a900e93 li t4,169 - 1c4: 00a00193 li gp,10 - 1c8: 31d09463 bne ra,t4,4d0 +000001b4 : + 1b4: 00d00093 li ra,13 + 1b8: 021080b3 mul ra,ra,ra + 1bc: 0a900e93 li t4,169 + 1c0: 00a00193 li gp,10 + 1c4: 31d09463 bne ra,t4,4cc -000001cc : - 1cc: 00000213 li tp,0 - 1d0: 00d00093 li ra,13 - 1d4: 00b00113 li sp,11 - 1d8: 02208f33 mul t5,ra,sp - 1dc: 000f0313 mv t1,t5 - 1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1e4: 00200293 li t0,2 - 1e8: fe5214e3 bne tp,t0,1d0 - 1ec: 08f00e93 li t4,143 - 1f0: 00b00193 li gp,11 - 1f4: 2dd31e63 bne t1,t4,4d0 +000001c8 : + 1c8: 00000213 li tp,0 + 1cc: 00d00093 li ra,13 + 1d0: 00b00113 li sp,11 + 1d4: 02208f33 mul t5,ra,sp + 1d8: 000f0313 mv t1,t5 + 1dc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1e0: 00200293 li t0,2 + 1e4: fe5214e3 bne tp,t0,1cc + 1e8: 08f00e93 li t4,143 + 1ec: 00b00193 li gp,11 + 1f0: 2dd31e63 bne t1,t4,4cc -000001f8 : - 1f8: 00000213 li tp,0 - 1fc: 00e00093 li ra,14 - 200: 00b00113 li sp,11 - 204: 02208f33 mul t5,ra,sp - 208: 00000013 nop - 20c: 000f0313 mv t1,t5 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5212e3 bne tp,t0,1fc - 21c: 09a00e93 li t4,154 - 220: 00c00193 li gp,12 - 224: 2bd31663 bne t1,t4,4d0 +000001f4 : + 1f4: 00000213 li tp,0 + 1f8: 00e00093 li ra,14 + 1fc: 00b00113 li sp,11 + 200: 02208f33 mul t5,ra,sp + 204: 00000013 nop + 208: 000f0313 mv t1,t5 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5212e3 bne tp,t0,1f8 + 218: 09a00e93 li t4,154 + 21c: 00c00193 li gp,12 + 220: 2bd31663 bne t1,t4,4cc -00000228 : - 228: 00000213 li tp,0 - 22c: 00f00093 li ra,15 - 230: 00b00113 li sp,11 - 234: 02208f33 mul t5,ra,sp +00000224 : + 224: 00000213 li tp,0 + 228: 00f00093 li ra,15 + 22c: 00b00113 li sp,11 + 230: 02208f33 mul t5,ra,sp + 234: 00000013 nop 238: 00000013 nop - 23c: 00000013 nop - 240: 000f0313 mv t1,t5 - 244: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 248: 00200293 li t0,2 - 24c: fe5210e3 bne tp,t0,22c - 250: 0a500e93 li t4,165 - 254: 00d00193 li gp,13 - 258: 27d31c63 bne t1,t4,4d0 + 23c: 000f0313 mv t1,t5 + 240: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 244: 00200293 li t0,2 + 248: fe5210e3 bne tp,t0,228 + 24c: 0a500e93 li t4,165 + 250: 00d00193 li gp,13 + 254: 27d31c63 bne t1,t4,4cc -0000025c : - 25c: 00000213 li tp,0 - 260: 00d00093 li ra,13 - 264: 00b00113 li sp,11 - 268: 02208f33 mul t5,ra,sp - 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 270: 00200293 li t0,2 - 274: fe5216e3 bne tp,t0,260 - 278: 08f00e93 li t4,143 - 27c: 00e00193 li gp,14 - 280: 25df1863 bne t5,t4,4d0 +00000258 : + 258: 00000213 li tp,0 + 25c: 00d00093 li ra,13 + 260: 00b00113 li sp,11 + 264: 02208f33 mul t5,ra,sp + 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 26c: 00200293 li t0,2 + 270: fe5216e3 bne tp,t0,25c + 274: 08f00e93 li t4,143 + 278: 00e00193 li gp,14 + 27c: 25df1863 bne t5,t4,4cc -00000284 : - 284: 00000213 li tp,0 - 288: 00e00093 li ra,14 - 28c: 00b00113 li sp,11 - 290: 00000013 nop - 294: 02208f33 mul t5,ra,sp - 298: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 29c: 00200293 li t0,2 - 2a0: fe5214e3 bne tp,t0,288 - 2a4: 09a00e93 li t4,154 - 2a8: 00f00193 li gp,15 - 2ac: 23df1263 bne t5,t4,4d0 +00000280 : + 280: 00000213 li tp,0 + 284: 00e00093 li ra,14 + 288: 00b00113 li sp,11 + 28c: 00000013 nop + 290: 02208f33 mul t5,ra,sp + 294: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 298: 00200293 li t0,2 + 29c: fe5214e3 bne tp,t0,284 + 2a0: 09a00e93 li t4,154 + 2a4: 00f00193 li gp,15 + 2a8: 23df1263 bne t5,t4,4cc -000002b0 : - 2b0: 00000213 li tp,0 - 2b4: 00f00093 li ra,15 - 2b8: 00b00113 li sp,11 +000002ac : + 2ac: 00000213 li tp,0 + 2b0: 00f00093 li ra,15 + 2b4: 00b00113 li sp,11 + 2b8: 00000013 nop 2bc: 00000013 nop - 2c0: 00000013 nop - 2c4: 02208f33 mul t5,ra,sp - 2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2cc: 00200293 li t0,2 - 2d0: fe5212e3 bne tp,t0,2b4 - 2d4: 0a500e93 li t4,165 - 2d8: 01000193 li gp,16 - 2dc: 1fdf1a63 bne t5,t4,4d0 + 2c0: 02208f33 mul t5,ra,sp + 2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2c8: 00200293 li t0,2 + 2cc: fe5212e3 bne tp,t0,2b0 + 2d0: 0a500e93 li t4,165 + 2d4: 01000193 li gp,16 + 2d8: 1fdf1a63 bne t5,t4,4cc -000002e0 : - 2e0: 00000213 li tp,0 - 2e4: 00d00093 li ra,13 - 2e8: 00000013 nop - 2ec: 00b00113 li sp,11 - 2f0: 02208f33 mul t5,ra,sp - 2f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2f8: 00200293 li t0,2 - 2fc: fe5214e3 bne tp,t0,2e4 - 300: 08f00e93 li t4,143 - 304: 01100193 li gp,17 - 308: 1ddf1463 bne t5,t4,4d0 +000002dc : + 2dc: 00000213 li tp,0 + 2e0: 00d00093 li ra,13 + 2e4: 00000013 nop + 2e8: 00b00113 li sp,11 + 2ec: 02208f33 mul t5,ra,sp + 2f0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2f4: 00200293 li t0,2 + 2f8: fe5214e3 bne tp,t0,2e0 + 2fc: 08f00e93 li t4,143 + 300: 01100193 li gp,17 + 304: 1ddf1463 bne t5,t4,4cc -0000030c : - 30c: 00000213 li tp,0 - 310: 00e00093 li ra,14 - 314: 00000013 nop - 318: 00b00113 li sp,11 - 31c: 00000013 nop - 320: 02208f33 mul t5,ra,sp - 324: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 328: 00200293 li t0,2 - 32c: fe5212e3 bne tp,t0,310 - 330: 09a00e93 li t4,154 - 334: 01200193 li gp,18 - 338: 19df1c63 bne t5,t4,4d0 +00000308 : + 308: 00000213 li tp,0 + 30c: 00e00093 li ra,14 + 310: 00000013 nop + 314: 00b00113 li sp,11 + 318: 00000013 nop + 31c: 02208f33 mul t5,ra,sp + 320: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 324: 00200293 li t0,2 + 328: fe5212e3 bne tp,t0,30c + 32c: 09a00e93 li t4,154 + 330: 01200193 li gp,18 + 334: 19df1c63 bne t5,t4,4cc -0000033c : - 33c: 00000213 li tp,0 - 340: 00f00093 li ra,15 +00000338 : + 338: 00000213 li tp,0 + 33c: 00f00093 li ra,15 + 340: 00000013 nop 344: 00000013 nop - 348: 00000013 nop - 34c: 00b00113 li sp,11 - 350: 02208f33 mul t5,ra,sp - 354: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 358: 00200293 li t0,2 - 35c: fe5212e3 bne tp,t0,340 - 360: 0a500e93 li t4,165 - 364: 01300193 li gp,19 - 368: 17df1463 bne t5,t4,4d0 + 348: 00b00113 li sp,11 + 34c: 02208f33 mul t5,ra,sp + 350: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 354: 00200293 li t0,2 + 358: fe5212e3 bne tp,t0,33c + 35c: 0a500e93 li t4,165 + 360: 01300193 li gp,19 + 364: 17df1463 bne t5,t4,4cc -0000036c : - 36c: 00000213 li tp,0 - 370: 00b00113 li sp,11 - 374: 00d00093 li ra,13 - 378: 02208f33 mul t5,ra,sp - 37c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 380: 00200293 li t0,2 - 384: fe5216e3 bne tp,t0,370 - 388: 08f00e93 li t4,143 - 38c: 01400193 li gp,20 - 390: 15df1063 bne t5,t4,4d0 +00000368 : + 368: 00000213 li tp,0 + 36c: 00b00113 li sp,11 + 370: 00d00093 li ra,13 + 374: 02208f33 mul t5,ra,sp + 378: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 37c: 00200293 li t0,2 + 380: fe5216e3 bne tp,t0,36c + 384: 08f00e93 li t4,143 + 388: 01400193 li gp,20 + 38c: 15df1063 bne t5,t4,4cc -00000394 : - 394: 00000213 li tp,0 - 398: 00b00113 li sp,11 - 39c: 00e00093 li ra,14 - 3a0: 00000013 nop - 3a4: 02208f33 mul t5,ra,sp - 3a8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3ac: 00200293 li t0,2 - 3b0: fe5214e3 bne tp,t0,398 - 3b4: 09a00e93 li t4,154 - 3b8: 01500193 li gp,21 - 3bc: 11df1a63 bne t5,t4,4d0 +00000390 : + 390: 00000213 li tp,0 + 394: 00b00113 li sp,11 + 398: 00e00093 li ra,14 + 39c: 00000013 nop + 3a0: 02208f33 mul t5,ra,sp + 3a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3a8: 00200293 li t0,2 + 3ac: fe5214e3 bne tp,t0,394 + 3b0: 09a00e93 li t4,154 + 3b4: 01500193 li gp,21 + 3b8: 11df1a63 bne t5,t4,4cc -000003c0 : - 3c0: 00000213 li tp,0 - 3c4: 00b00113 li sp,11 - 3c8: 00f00093 li ra,15 +000003bc : + 3bc: 00000213 li tp,0 + 3c0: 00b00113 li sp,11 + 3c4: 00f00093 li ra,15 + 3c8: 00000013 nop 3cc: 00000013 nop - 3d0: 00000013 nop - 3d4: 02208f33 mul t5,ra,sp - 3d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3dc: 00200293 li t0,2 - 3e0: fe5212e3 bne tp,t0,3c4 - 3e4: 0a500e93 li t4,165 - 3e8: 01600193 li gp,22 - 3ec: 0fdf1263 bne t5,t4,4d0 + 3d0: 02208f33 mul t5,ra,sp + 3d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3d8: 00200293 li t0,2 + 3dc: fe5212e3 bne tp,t0,3c0 + 3e0: 0a500e93 li t4,165 + 3e4: 01600193 li gp,22 + 3e8: 0fdf1263 bne t5,t4,4cc -000003f0 : - 3f0: 00000213 li tp,0 - 3f4: 00b00113 li sp,11 - 3f8: 00000013 nop - 3fc: 00d00093 li ra,13 - 400: 02208f33 mul t5,ra,sp - 404: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 408: 00200293 li t0,2 - 40c: fe5214e3 bne tp,t0,3f4 - 410: 08f00e93 li t4,143 - 414: 01700193 li gp,23 - 418: 0bdf1c63 bne t5,t4,4d0 +000003ec : + 3ec: 00000213 li tp,0 + 3f0: 00b00113 li sp,11 + 3f4: 00000013 nop + 3f8: 00d00093 li ra,13 + 3fc: 02208f33 mul t5,ra,sp + 400: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 404: 00200293 li t0,2 + 408: fe5214e3 bne tp,t0,3f0 + 40c: 08f00e93 li t4,143 + 410: 01700193 li gp,23 + 414: 0bdf1c63 bne t5,t4,4cc -0000041c : - 41c: 00000213 li tp,0 - 420: 00b00113 li sp,11 - 424: 00000013 nop - 428: 00e00093 li ra,14 - 42c: 00000013 nop - 430: 02208f33 mul t5,ra,sp - 434: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 438: 00200293 li t0,2 - 43c: fe5212e3 bne tp,t0,420 - 440: 09a00e93 li t4,154 - 444: 01800193 li gp,24 - 448: 09df1463 bne t5,t4,4d0 +00000418 : + 418: 00000213 li tp,0 + 41c: 00b00113 li sp,11 + 420: 00000013 nop + 424: 00e00093 li ra,14 + 428: 00000013 nop + 42c: 02208f33 mul t5,ra,sp + 430: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 434: 00200293 li t0,2 + 438: fe5212e3 bne tp,t0,41c + 43c: 09a00e93 li t4,154 + 440: 01800193 li gp,24 + 444: 09df1463 bne t5,t4,4cc -0000044c : - 44c: 00000213 li tp,0 - 450: 00b00113 li sp,11 +00000448 : + 448: 00000213 li tp,0 + 44c: 00b00113 li sp,11 + 450: 00000013 nop 454: 00000013 nop - 458: 00000013 nop - 45c: 00f00093 li ra,15 - 460: 02208f33 mul t5,ra,sp - 464: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 468: 00200293 li t0,2 - 46c: fe5212e3 bne tp,t0,450 - 470: 0a500e93 li t4,165 - 474: 01900193 li gp,25 - 478: 05df1c63 bne t5,t4,4d0 + 458: 00f00093 li ra,15 + 45c: 02208f33 mul t5,ra,sp + 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 464: 00200293 li t0,2 + 468: fe5212e3 bne tp,t0,44c + 46c: 0a500e93 li t4,165 + 470: 01900193 li gp,25 + 474: 05df1c63 bne t5,t4,4cc -0000047c : - 47c: 01f00093 li ra,31 - 480: 02100133 mul sp,zero,ra - 484: 00000e93 li t4,0 - 488: 01a00193 li gp,26 - 48c: 05d11263 bne sp,t4,4d0 +00000478 : + 478: 01f00093 li ra,31 + 47c: 02100133 mul sp,zero,ra + 480: 00000e93 li t4,0 + 484: 01a00193 li gp,26 + 488: 05d11263 bne sp,t4,4cc -00000490 : - 490: 02000093 li ra,32 - 494: 02008133 mul sp,ra,zero - 498: 00000e93 li t4,0 - 49c: 01b00193 li gp,27 - 4a0: 03d11863 bne sp,t4,4d0 +0000048c : + 48c: 02000093 li ra,32 + 490: 02008133 mul sp,ra,zero + 494: 00000e93 li t4,0 + 498: 01b00193 li gp,27 + 49c: 03d11863 bne sp,t4,4cc -000004a4 : - 4a4: 020000b3 mul ra,zero,zero - 4a8: 00000e93 li t4,0 - 4ac: 01c00193 li gp,28 - 4b0: 03d09063 bne ra,t4,4d0 +000004a0 : + 4a0: 020000b3 mul ra,zero,zero + 4a4: 00000e93 li t4,0 + 4a8: 01c00193 li gp,28 + 4ac: 03d09063 bne ra,t4,4cc -000004b4 : - 4b4: 02100093 li ra,33 - 4b8: 02200113 li sp,34 - 4bc: 02208033 mul zero,ra,sp - 4c0: 00000e93 li t4,0 - 4c4: 01d00193 li gp,29 - 4c8: 01d01463 bne zero,t4,4d0 - 4cc: 00301863 bne zero,gp,4dc +000004b0 : + 4b0: 02100093 li ra,33 + 4b4: 02200113 li sp,34 + 4b8: 02208033 mul zero,ra,sp + 4bc: 00000e93 li t4,0 + 4c0: 01d00193 li gp,29 + 4c4: 01d01463 bne zero,t4,4cc + 4c8: 00301663 bne zero,gp,4d4 -000004d0 : - 4d0: 00000d93 li s11,0 - 4d4: 00100d13 li s10,1 +000004cc : + 4cc: 1000d073 csrwi sstatus,1 -000004d8 : - 4d8: 0000006f j 4d8 +000004d0 : + 4d0: 0000006f j 4d0 -000004dc : - 4dc: 00100d93 li s11,1 - 4e0: 00100d13 li s10,1 +000004d4 : + 4d4: 1001d073 csrwi sstatus,3 -000004e4 : - 4e4: 0000006f j 4e4 +000004d8 : + 4d8: 0000006f j 4d8 ... Disassembly of section .tohost: @@ -412,12 +409,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -426,4 +423,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-mul.mem b/tests/isa/generated/rv32um-p-mul.mem index 8cd19b8..64ad3ae 100644 --- a/tests/isa/generated/rv32um-p-mul.mem +++ b/tests/isa/generated/rv32um-p-mul.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 000080b7 e0008093 b6db7137 @@ -305,12 +304,10 @@ fe5212e3 00000e93 01d00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mul.verilog b/tests/isa/generated/rv32um-p-mul.verilog index 8ebe874..cde1e1d 100755 --- a/tests/isa/generated/rv32um-p-mul.verilog +++ b/tests/isa/generated/rv32um-p-mul.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 B7 80 00 00 93 80 00 E0 +73 50 00 10 B7 80 00 00 93 80 00 E0 37 71 DB B6 +13 01 71 DB 33 8F 20 02 B7 1E 00 00 93 8E 0E 20 +93 01 00 02 63 14 DF 4B B7 80 00 00 93 80 00 FC 37 71 DB B6 13 01 71 DB 33 8F 20 02 B7 1E 00 00 -93 8E 0E 20 93 01 00 02 63 14 DF 4B B7 80 00 00 -93 80 00 FC 37 71 DB B6 13 01 71 DB 33 8F 20 02 -B7 1E 00 00 93 8E 0E 24 93 01 10 02 63 12 DF 49 -93 00 00 00 13 01 00 00 33 8F 20 02 93 0E 00 00 -93 01 20 00 63 16 DF 47 93 00 10 00 13 01 10 00 -33 8F 20 02 93 0E 10 00 93 01 30 00 63 1A DF 45 -93 00 30 00 13 01 70 00 33 8F 20 02 93 0E 50 01 -93 01 40 00 63 1E DF 43 93 00 00 00 37 81 FF FF -33 8F 20 02 93 0E 00 00 93 01 50 00 63 12 DF 43 -B7 00 00 80 13 01 00 00 33 8F 20 02 93 0E 00 00 -93 01 60 00 63 16 DF 41 B7 00 00 80 37 81 FF FF -33 8F 20 02 93 0E 00 00 93 01 70 00 63 1A DF 3F -B7 B0 AA AA 93 80 B0 AA 37 01 03 00 13 01 D1 E7 -33 8F 20 02 B7 0E 01 00 93 8E FE F7 93 01 E0 01 -63 18 DF 3D B7 00 03 00 93 80 D0 E7 37 B1 AA AA -13 01 B1 AA 33 8F 20 02 B7 0E 01 00 93 8E FE F7 -93 01 F0 01 63 16 DF 3B B7 00 00 FF 37 01 00 FF -33 8F 20 02 93 0E 00 00 93 01 20 02 63 1A DF 39 -93 00 F0 FF 13 01 F0 FF 33 8F 20 02 93 0E 10 00 -93 01 30 02 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 8F 20 02 93 0E F0 FF 93 01 40 02 63 12 DF 37 -93 00 10 00 13 01 F0 FF 33 8F 20 02 93 0E F0 FF -93 01 50 02 63 16 DF 35 93 00 D0 00 13 01 B0 00 -B3 80 20 02 93 0E F0 08 93 01 80 00 63 9A D0 33 -93 00 E0 00 13 01 B0 00 33 81 20 02 93 0E A0 09 -93 01 90 00 63 1E D1 31 93 00 D0 00 B3 80 10 02 -93 0E 90 0A 93 01 A0 00 63 94 D0 31 13 02 00 00 -93 00 D0 00 13 01 B0 00 33 8F 20 02 13 03 0F 00 +93 8E 0E 24 93 01 10 02 63 12 DF 49 93 00 00 00 +13 01 00 00 33 8F 20 02 93 0E 00 00 93 01 20 00 +63 16 DF 47 93 00 10 00 13 01 10 00 33 8F 20 02 +93 0E 10 00 93 01 30 00 63 1A DF 45 93 00 30 00 +13 01 70 00 33 8F 20 02 93 0E 50 01 93 01 40 00 +63 1E DF 43 93 00 00 00 37 81 FF FF 33 8F 20 02 +93 0E 00 00 93 01 50 00 63 12 DF 43 B7 00 00 80 +13 01 00 00 33 8F 20 02 93 0E 00 00 93 01 60 00 +63 16 DF 41 B7 00 00 80 37 81 FF FF 33 8F 20 02 +93 0E 00 00 93 01 70 00 63 1A DF 3F B7 B0 AA AA +93 80 B0 AA 37 01 03 00 13 01 D1 E7 33 8F 20 02 +B7 0E 01 00 93 8E FE F7 93 01 E0 01 63 18 DF 3D +B7 00 03 00 93 80 D0 E7 37 B1 AA AA 13 01 B1 AA +33 8F 20 02 B7 0E 01 00 93 8E FE F7 93 01 F0 01 +63 16 DF 3B B7 00 00 FF 37 01 00 FF 33 8F 20 02 +93 0E 00 00 93 01 20 02 63 1A DF 39 93 00 F0 FF +13 01 F0 FF 33 8F 20 02 93 0E 10 00 93 01 30 02 +63 1E DF 37 93 00 F0 FF 13 01 10 00 33 8F 20 02 +93 0E F0 FF 93 01 40 02 63 12 DF 37 93 00 10 00 +13 01 F0 FF 33 8F 20 02 93 0E F0 FF 93 01 50 02 +63 16 DF 35 93 00 D0 00 13 01 B0 00 B3 80 20 02 +93 0E F0 08 93 01 80 00 63 9A D0 33 93 00 E0 00 +13 01 B0 00 33 81 20 02 93 0E A0 09 93 01 90 00 +63 1E D1 31 93 00 D0 00 B3 80 10 02 93 0E 90 0A +93 01 A0 00 63 94 D0 31 13 02 00 00 93 00 D0 00 +13 01 B0 00 33 8F 20 02 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E F0 08 93 01 B0 00 +63 1E D3 2D 13 02 00 00 93 00 E0 00 13 01 B0 00 +33 8F 20 02 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 09 93 01 C0 00 +63 16 D3 2B 13 02 00 00 93 00 F0 00 13 01 B0 00 +33 8F 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 0E 50 0A +93 01 D0 00 63 1C D3 27 13 02 00 00 93 00 D0 00 +13 01 B0 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E F0 08 93 01 E0 00 63 18 DF 25 +13 02 00 00 93 00 E0 00 13 01 B0 00 13 00 00 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E A0 09 93 01 F0 00 63 12 DF 23 13 02 00 00 +93 00 F0 00 13 01 B0 00 13 00 00 00 13 00 00 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 50 0A 93 01 00 01 63 1A DF 1F 13 02 00 00 +93 00 D0 00 13 00 00 00 13 01 B0 00 33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E F0 08 -93 01 B0 00 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 B0 00 33 8F 20 02 13 00 00 00 13 03 0F 00 +93 01 10 01 63 14 DF 1D 13 02 00 00 93 00 E0 00 +13 00 00 00 13 01 B0 00 13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 09 -93 01 C0 00 63 16 D3 2B 13 02 00 00 93 00 F0 00 -13 01 B0 00 33 8F 20 02 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 50 0A 93 01 D0 00 63 1C D3 27 13 02 00 00 -93 00 D0 00 13 01 B0 00 33 8F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 E0 00 -63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E A0 09 93 01 F0 00 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 B0 00 13 00 00 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 50 0A 93 01 00 01 63 1A DF 1F -13 02 00 00 93 00 D0 00 13 00 00 00 13 01 B0 00 +93 01 20 01 63 1C DF 19 13 02 00 00 93 00 F0 00 +13 00 00 00 13 00 00 00 13 01 B0 00 33 8F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 50 0A +93 01 30 01 63 14 DF 17 13 02 00 00 13 01 B0 00 +93 00 D0 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E F0 08 93 01 40 01 63 10 DF 15 +13 02 00 00 13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E F0 08 93 01 10 01 63 14 DF 1D 13 02 00 00 -93 00 E0 00 13 00 00 00 13 01 B0 00 13 00 00 00 +93 0E A0 09 93 01 50 01 63 1A DF 11 13 02 00 00 +13 01 B0 00 93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E A0 09 93 01 20 01 63 1C DF 19 13 02 00 00 -93 00 F0 00 13 00 00 00 13 00 00 00 13 01 B0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 50 0A 93 01 30 01 63 14 DF 17 13 02 00 00 -13 01 B0 00 93 00 D0 00 33 8F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 40 01 -63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E A0 09 93 01 50 01 63 1A DF 11 -13 02 00 00 13 01 B0 00 93 00 F0 00 13 00 00 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 50 0A 93 01 60 01 63 12 DF 0F -13 02 00 00 13 01 B0 00 13 00 00 00 93 00 D0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E F0 08 93 01 70 01 63 1C DF 0B 13 02 00 00 -13 01 B0 00 13 00 00 00 93 00 E0 00 13 00 00 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E A0 09 93 01 80 01 63 14 DF 09 13 02 00 00 -13 01 B0 00 13 00 00 00 13 00 00 00 93 00 F0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 50 0A 93 01 90 01 63 1C DF 05 93 00 F0 01 -33 01 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -93 00 00 02 33 81 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 00 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 93 00 10 02 13 01 20 02 33 80 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +93 0E 50 0A 93 01 60 01 63 12 DF 0F 13 02 00 00 +13 01 B0 00 13 00 00 00 93 00 D0 00 33 8F 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E F0 08 +93 01 70 01 63 1C DF 0B 13 02 00 00 13 01 B0 00 +13 00 00 00 93 00 E0 00 13 00 00 00 33 8F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 09 +93 01 80 01 63 14 DF 09 13 02 00 00 13 01 B0 00 +13 00 00 00 13 00 00 00 93 00 F0 00 33 8F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 50 0A +93 01 90 01 63 1C DF 05 93 00 F0 01 33 01 10 02 +93 0E 00 00 93 01 A0 01 63 12 D1 05 93 00 00 02 +33 81 00 02 93 0E 00 00 93 01 B0 01 63 18 D1 03 +B3 00 00 02 93 0E 00 00 93 01 C0 01 63 90 D0 03 +93 00 10 02 13 01 20 02 33 80 20 02 93 0E 00 00 +93 01 D0 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32um-p-mulh b/tests/isa/generated/rv32um-p-mulh index d4799dcc7bcf26da1cf0340e5adb953eab122962..945f9096dd88ba2399d785a59594c34108e6a607 100755 GIT binary patch delta 727 zcmYk&JxD@P7zW_)*e#?Vy3n7gNoJs1Vs&eXAgHDahl(2d5hHLgLq!?{7e|NWHa0Xg z3KcduxCFL2I5;!}H8eCdG&nRk^r{r^H+<)PzH{N6dm6@%aXG1uAKY()S|TWV(W*ad z`lw$LHPK@tSd|{jhweNpQ&2pT2&Y8Z5WC~4*+3+4?mvp6iBVWbr|noP)o~t=s!7XzfyRjdh!R3unm%xI})0KgngB zFU~Hsb}6Y~4U(&Hnp}qqOo}`~Ti|@ZEJ|omk1Q68C|RA*b6VV&SQ3 zdP6gehz++(mjn4d(8bQ>|3^{cMUImL$jjs~@-BH2xk!#7Uy^4;3R0)VJn|=b3AsyNN7nI?y;8D? z5GL=yv*a|KAZOuYavt|fi591L;gNg}cgPp;gagekC0CdmSC!TjUn((a`Kt(#BjQci=pE?Hh{{Ej0XXg**t?$U(SC_Q3CC o6K+{6#{R%Ub}yGZsWyy|LycQs-k`d%hJ7LRU`?ZYt)y@C2YQA`-v9sr diff --git a/tests/isa/generated/rv32um-p-mulh.bin b/tests/isa/generated/rv32um-p-mulh.bin index cb6bc8e140c09fef46b7646bdaec323cddc352c5..176c45360a7b4225e9aa6111879f123b8f7ad3c3 100755 GIT binary patch delta 37 rcmeC+?%-xA4qy=2$Z?cKK+J%l_yU7KJ_7@gW)#Su{E=n)BvuIkw8RQ2 delta 46 rcmeC+?%?JS=4D`*48$8*j: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 02209f33 mulh t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4bdf1a63 bne t5,t4,4d0 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 02209f33 mulh t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4bdf1a63 bne t5,t4,4cc -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 02209f33 mulh t5,ra,sp - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 49df1e63 bne t5,t4,4d0 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 02209f33 mulh t5,ra,sp + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 49df1e63 bne t5,t4,4cc -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 02209f33 mulh t5,ra,sp - 44: 00000e93 li t4,0 - 48: 00400193 li gp,4 - 4c: 49df1263 bne t5,t4,4d0 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 02209f33 mulh t5,ra,sp + 40: 00000e93 li t4,0 + 44: 00400193 li gp,4 + 48: 49df1263 bne t5,t4,4cc -00000050 : - 50: 00000093 li ra,0 - 54: ffff8137 lui sp,0xffff8 - 58: 02209f33 mulh t5,ra,sp - 5c: 00000e93 li t4,0 - 60: 00500193 li gp,5 - 64: 47df1663 bne t5,t4,4d0 +0000004c : + 4c: 00000093 li ra,0 + 50: ffff8137 lui sp,0xffff8 + 54: 02209f33 mulh t5,ra,sp + 58: 00000e93 li t4,0 + 5c: 00500193 li gp,5 + 60: 47df1663 bne t5,t4,4cc -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00000113 li sp,0 - 70: 02209f33 mulh t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 45df1a63 bne t5,t4,4d0 +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00000113 li sp,0 + 6c: 02209f33 mulh t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 45df1a63 bne t5,t4,4cc -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: 00000113 li sp,0 - 88: 02209f33 mulh t5,ra,sp - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 43df1e63 bne t5,t4,4d0 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: 00000113 li sp,0 + 84: 02209f33 mulh t5,ra,sp + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 43df1e63 bne t5,t4,4cc -00000098 : - 98: aaaab0b7 lui ra,0xaaaab - 9c: aab08093 addi ra,ra,-1365 # aaaaaaab - a0: 00030137 lui sp,0x30 - a4: e7d10113 addi sp,sp,-387 # 2fe7d - a8: 02209f33 mulh t5,ra,sp - ac: ffff0eb7 lui t4,0xffff0 - b0: 081e8e93 addi t4,t4,129 # ffff0081 - b4: 01e00193 li gp,30 - b8: 41df1c63 bne t5,t4,4d0 +00000094 : + 94: aaaab0b7 lui ra,0xaaaab + 98: aab08093 addi ra,ra,-1365 # aaaaaaab + 9c: 00030137 lui sp,0x30 + a0: e7d10113 addi sp,sp,-387 # 2fe7d + a4: 02209f33 mulh t5,ra,sp + a8: ffff0eb7 lui t4,0xffff0 + ac: 081e8e93 addi t4,t4,129 # ffff0081 + b0: 01e00193 li gp,30 + b4: 41df1c63 bne t5,t4,4cc -000000bc : - bc: 000300b7 lui ra,0x30 - c0: e7d08093 addi ra,ra,-387 # 2fe7d - c4: aaaab137 lui sp,0xaaaab - c8: aab10113 addi sp,sp,-1365 # aaaaaaab - cc: 02209f33 mulh t5,ra,sp - d0: ffff0eb7 lui t4,0xffff0 - d4: 081e8e93 addi t4,t4,129 # ffff0081 - d8: 01f00193 li gp,31 - dc: 3fdf1a63 bne t5,t4,4d0 +000000b8 : + b8: 000300b7 lui ra,0x30 + bc: e7d08093 addi ra,ra,-387 # 2fe7d + c0: aaaab137 lui sp,0xaaaab + c4: aab10113 addi sp,sp,-1365 # aaaaaaab + c8: 02209f33 mulh t5,ra,sp + cc: ffff0eb7 lui t4,0xffff0 + d0: 081e8e93 addi t4,t4,129 # ffff0081 + d4: 01f00193 li gp,31 + d8: 3fdf1a63 bne t5,t4,4cc -000000e0 : - e0: ff0000b7 lui ra,0xff000 - e4: ff000137 lui sp,0xff000 - e8: 02209f33 mulh t5,ra,sp - ec: 00010eb7 lui t4,0x10 - f0: 02000193 li gp,32 - f4: 3ddf1e63 bne t5,t4,4d0 +000000dc : + dc: ff0000b7 lui ra,0xff000 + e0: ff000137 lui sp,0xff000 + e4: 02209f33 mulh t5,ra,sp + e8: 00010eb7 lui t4,0x10 + ec: 02000193 li gp,32 + f0: 3ddf1e63 bne t5,t4,4cc -000000f8 : - f8: fff00093 li ra,-1 - fc: fff00113 li sp,-1 - 100: 02209f33 mulh t5,ra,sp - 104: 00000e93 li t4,0 - 108: 02100193 li gp,33 - 10c: 3ddf1263 bne t5,t4,4d0 +000000f4 : + f4: fff00093 li ra,-1 + f8: fff00113 li sp,-1 + fc: 02209f33 mulh t5,ra,sp + 100: 00000e93 li t4,0 + 104: 02100193 li gp,33 + 108: 3ddf1263 bne t5,t4,4cc -00000110 : - 110: fff00093 li ra,-1 - 114: 00100113 li sp,1 - 118: 02209f33 mulh t5,ra,sp - 11c: fff00e93 li t4,-1 - 120: 02200193 li gp,34 - 124: 3bdf1663 bne t5,t4,4d0 +0000010c : + 10c: fff00093 li ra,-1 + 110: 00100113 li sp,1 + 114: 02209f33 mulh t5,ra,sp + 118: fff00e93 li t4,-1 + 11c: 02200193 li gp,34 + 120: 3bdf1663 bne t5,t4,4cc -00000128 : - 128: 00100093 li ra,1 - 12c: fff00113 li sp,-1 - 130: 02209f33 mulh t5,ra,sp - 134: fff00e93 li t4,-1 - 138: 02300193 li gp,35 - 13c: 39df1a63 bne t5,t4,4d0 +00000124 : + 124: 00100093 li ra,1 + 128: fff00113 li sp,-1 + 12c: 02209f33 mulh t5,ra,sp + 130: fff00e93 li t4,-1 + 134: 02300193 li gp,35 + 138: 39df1a63 bne t5,t4,4cc -00000140 : - 140: 00d000b7 lui ra,0xd00 - 144: 00b00137 lui sp,0xb00 - 148: 022090b3 mulh ra,ra,sp - 14c: 00009eb7 lui t4,0x9 - 150: f00e8e93 addi t4,t4,-256 # 8f00 - 154: 00800193 li gp,8 - 158: 37d09c63 bne ra,t4,4d0 +0000013c : + 13c: 00d000b7 lui ra,0xd00 + 140: 00b00137 lui sp,0xb00 + 144: 022090b3 mulh ra,ra,sp + 148: 00009eb7 lui t4,0x9 + 14c: f00e8e93 addi t4,t4,-256 # 8f00 + 150: 00800193 li gp,8 + 154: 37d09c63 bne ra,t4,4cc -0000015c : - 15c: 00e000b7 lui ra,0xe00 - 160: 00b00137 lui sp,0xb00 - 164: 02209133 mulh sp,ra,sp - 168: 0000aeb7 lui t4,0xa - 16c: a00e8e93 addi t4,t4,-1536 # 9a00 - 170: 00900193 li gp,9 - 174: 35d11e63 bne sp,t4,4d0 +00000158 : + 158: 00e000b7 lui ra,0xe00 + 15c: 00b00137 lui sp,0xb00 + 160: 02209133 mulh sp,ra,sp + 164: 0000aeb7 lui t4,0xa + 168: a00e8e93 addi t4,t4,-1536 # 9a00 + 16c: 00900193 li gp,9 + 170: 35d11e63 bne sp,t4,4cc -00000178 : - 178: 00d000b7 lui ra,0xd00 - 17c: 021090b3 mulh ra,ra,ra - 180: 0000beb7 lui t4,0xb - 184: 900e8e93 addi t4,t4,-1792 # a900 - 188: 00a00193 li gp,10 - 18c: 35d09263 bne ra,t4,4d0 +00000174 : + 174: 00d000b7 lui ra,0xd00 + 178: 021090b3 mulh ra,ra,ra + 17c: 0000beb7 lui t4,0xb + 180: 900e8e93 addi t4,t4,-1792 # a900 + 184: 00a00193 li gp,10 + 188: 35d09263 bne ra,t4,4cc -00000190 : - 190: 00000213 li tp,0 - 194: 00d000b7 lui ra,0xd00 - 198: 00b00137 lui sp,0xb00 - 19c: 02209f33 mulh t5,ra,sp - 1a0: 000f0313 mv t1,t5 - 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a8: 00200293 li t0,2 - 1ac: fe5214e3 bne tp,t0,194 - 1b0: 00009eb7 lui t4,0x9 - 1b4: f00e8e93 addi t4,t4,-256 # 8f00 - 1b8: 00b00193 li gp,11 - 1bc: 31d31a63 bne t1,t4,4d0 +0000018c : + 18c: 00000213 li tp,0 + 190: 00d000b7 lui ra,0xd00 + 194: 00b00137 lui sp,0xb00 + 198: 02209f33 mulh t5,ra,sp + 19c: 000f0313 mv t1,t5 + 1a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a4: 00200293 li t0,2 + 1a8: fe5214e3 bne tp,t0,190 + 1ac: 00009eb7 lui t4,0x9 + 1b0: f00e8e93 addi t4,t4,-256 # 8f00 + 1b4: 00b00193 li gp,11 + 1b8: 31d31a63 bne t1,t4,4cc -000001c0 : - 1c0: 00000213 li tp,0 - 1c4: 00e000b7 lui ra,0xe00 - 1c8: 00b00137 lui sp,0xb00 - 1cc: 02209f33 mulh t5,ra,sp - 1d0: 00000013 nop - 1d4: 000f0313 mv t1,t5 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5212e3 bne tp,t0,1c4 - 1e4: 0000aeb7 lui t4,0xa - 1e8: a00e8e93 addi t4,t4,-1536 # 9a00 - 1ec: 00c00193 li gp,12 - 1f0: 2fd31063 bne t1,t4,4d0 +000001bc : + 1bc: 00000213 li tp,0 + 1c0: 00e000b7 lui ra,0xe00 + 1c4: 00b00137 lui sp,0xb00 + 1c8: 02209f33 mulh t5,ra,sp + 1cc: 00000013 nop + 1d0: 000f0313 mv t1,t5 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5212e3 bne tp,t0,1c0 + 1e0: 0000aeb7 lui t4,0xa + 1e4: a00e8e93 addi t4,t4,-1536 # 9a00 + 1e8: 00c00193 li gp,12 + 1ec: 2fd31063 bne t1,t4,4cc -000001f4 : - 1f4: 00000213 li tp,0 - 1f8: 00f000b7 lui ra,0xf00 - 1fc: 00b00137 lui sp,0xb00 - 200: 02209f33 mulh t5,ra,sp +000001f0 : + 1f0: 00000213 li tp,0 + 1f4: 00f000b7 lui ra,0xf00 + 1f8: 00b00137 lui sp,0xb00 + 1fc: 02209f33 mulh t5,ra,sp + 200: 00000013 nop 204: 00000013 nop - 208: 00000013 nop - 20c: 000f0313 mv t1,t5 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5210e3 bne tp,t0,1f8 - 21c: 0000aeb7 lui t4,0xa - 220: 500e8e93 addi t4,t4,1280 # a500 - 224: 00d00193 li gp,13 - 228: 2bd31463 bne t1,t4,4d0 + 208: 000f0313 mv t1,t5 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5210e3 bne tp,t0,1f4 + 218: 0000aeb7 lui t4,0xa + 21c: 500e8e93 addi t4,t4,1280 # a500 + 220: 00d00193 li gp,13 + 224: 2bd31463 bne t1,t4,4cc -0000022c : - 22c: 00000213 li tp,0 - 230: 00d000b7 lui ra,0xd00 - 234: 00b00137 lui sp,0xb00 - 238: 02209f33 mulh t5,ra,sp - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fe5216e3 bne tp,t0,230 - 248: 00009eb7 lui t4,0x9 - 24c: f00e8e93 addi t4,t4,-256 # 8f00 - 250: 00e00193 li gp,14 - 254: 27df1e63 bne t5,t4,4d0 +00000228 : + 228: 00000213 li tp,0 + 22c: 00d000b7 lui ra,0xd00 + 230: 00b00137 lui sp,0xb00 + 234: 02209f33 mulh t5,ra,sp + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fe5216e3 bne tp,t0,22c + 244: 00009eb7 lui t4,0x9 + 248: f00e8e93 addi t4,t4,-256 # 8f00 + 24c: 00e00193 li gp,14 + 250: 27df1e63 bne t5,t4,4cc -00000258 : - 258: 00000213 li tp,0 - 25c: 00e000b7 lui ra,0xe00 - 260: 00b00137 lui sp,0xb00 - 264: 00000013 nop - 268: 02209f33 mulh t5,ra,sp - 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 270: 00200293 li t0,2 - 274: fe5214e3 bne tp,t0,25c - 278: 0000aeb7 lui t4,0xa - 27c: a00e8e93 addi t4,t4,-1536 # 9a00 - 280: 00f00193 li gp,15 - 284: 25df1663 bne t5,t4,4d0 +00000254 : + 254: 00000213 li tp,0 + 258: 00e000b7 lui ra,0xe00 + 25c: 00b00137 lui sp,0xb00 + 260: 00000013 nop + 264: 02209f33 mulh t5,ra,sp + 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 26c: 00200293 li t0,2 + 270: fe5214e3 bne tp,t0,258 + 274: 0000aeb7 lui t4,0xa + 278: a00e8e93 addi t4,t4,-1536 # 9a00 + 27c: 00f00193 li gp,15 + 280: 25df1663 bne t5,t4,4cc -00000288 : - 288: 00000213 li tp,0 - 28c: 00f000b7 lui ra,0xf00 - 290: 00b00137 lui sp,0xb00 +00000284 : + 284: 00000213 li tp,0 + 288: 00f000b7 lui ra,0xf00 + 28c: 00b00137 lui sp,0xb00 + 290: 00000013 nop 294: 00000013 nop - 298: 00000013 nop - 29c: 02209f33 mulh t5,ra,sp - 2a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2a4: 00200293 li t0,2 - 2a8: fe5212e3 bne tp,t0,28c - 2ac: 0000aeb7 lui t4,0xa - 2b0: 500e8e93 addi t4,t4,1280 # a500 - 2b4: 01000193 li gp,16 - 2b8: 21df1c63 bne t5,t4,4d0 + 298: 02209f33 mulh t5,ra,sp + 29c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2a0: 00200293 li t0,2 + 2a4: fe5212e3 bne tp,t0,288 + 2a8: 0000aeb7 lui t4,0xa + 2ac: 500e8e93 addi t4,t4,1280 # a500 + 2b0: 01000193 li gp,16 + 2b4: 21df1c63 bne t5,t4,4cc -000002bc : - 2bc: 00000213 li tp,0 - 2c0: 00d000b7 lui ra,0xd00 - 2c4: 00000013 nop - 2c8: 00b00137 lui sp,0xb00 - 2cc: 02209f33 mulh t5,ra,sp - 2d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2d4: 00200293 li t0,2 - 2d8: fe5214e3 bne tp,t0,2c0 - 2dc: 00009eb7 lui t4,0x9 - 2e0: f00e8e93 addi t4,t4,-256 # 8f00 - 2e4: 01100193 li gp,17 - 2e8: 1fdf1463 bne t5,t4,4d0 +000002b8 : + 2b8: 00000213 li tp,0 + 2bc: 00d000b7 lui ra,0xd00 + 2c0: 00000013 nop + 2c4: 00b00137 lui sp,0xb00 + 2c8: 02209f33 mulh t5,ra,sp + 2cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2d0: 00200293 li t0,2 + 2d4: fe5214e3 bne tp,t0,2bc + 2d8: 00009eb7 lui t4,0x9 + 2dc: f00e8e93 addi t4,t4,-256 # 8f00 + 2e0: 01100193 li gp,17 + 2e4: 1fdf1463 bne t5,t4,4cc -000002ec : - 2ec: 00000213 li tp,0 - 2f0: 00e000b7 lui ra,0xe00 - 2f4: 00000013 nop - 2f8: 00b00137 lui sp,0xb00 - 2fc: 00000013 nop - 300: 02209f33 mulh t5,ra,sp - 304: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 308: 00200293 li t0,2 - 30c: fe5212e3 bne tp,t0,2f0 - 310: 0000aeb7 lui t4,0xa - 314: a00e8e93 addi t4,t4,-1536 # 9a00 - 318: 01200193 li gp,18 - 31c: 1bdf1a63 bne t5,t4,4d0 +000002e8 : + 2e8: 00000213 li tp,0 + 2ec: 00e000b7 lui ra,0xe00 + 2f0: 00000013 nop + 2f4: 00b00137 lui sp,0xb00 + 2f8: 00000013 nop + 2fc: 02209f33 mulh t5,ra,sp + 300: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 304: 00200293 li t0,2 + 308: fe5212e3 bne tp,t0,2ec + 30c: 0000aeb7 lui t4,0xa + 310: a00e8e93 addi t4,t4,-1536 # 9a00 + 314: 01200193 li gp,18 + 318: 1bdf1a63 bne t5,t4,4cc -00000320 : - 320: 00000213 li tp,0 - 324: 00f000b7 lui ra,0xf00 +0000031c : + 31c: 00000213 li tp,0 + 320: 00f000b7 lui ra,0xf00 + 324: 00000013 nop 328: 00000013 nop - 32c: 00000013 nop - 330: 00b00137 lui sp,0xb00 - 334: 02209f33 mulh t5,ra,sp - 338: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 33c: 00200293 li t0,2 - 340: fe5212e3 bne tp,t0,324 - 344: 0000aeb7 lui t4,0xa - 348: 500e8e93 addi t4,t4,1280 # a500 - 34c: 01300193 li gp,19 - 350: 19df1063 bne t5,t4,4d0 + 32c: 00b00137 lui sp,0xb00 + 330: 02209f33 mulh t5,ra,sp + 334: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 338: 00200293 li t0,2 + 33c: fe5212e3 bne tp,t0,320 + 340: 0000aeb7 lui t4,0xa + 344: 500e8e93 addi t4,t4,1280 # a500 + 348: 01300193 li gp,19 + 34c: 19df1063 bne t5,t4,4cc -00000354 : - 354: 00000213 li tp,0 - 358: 00b00137 lui sp,0xb00 - 35c: 00d000b7 lui ra,0xd00 - 360: 02209f33 mulh t5,ra,sp - 364: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 368: 00200293 li t0,2 - 36c: fe5216e3 bne tp,t0,358 - 370: 00009eb7 lui t4,0x9 - 374: f00e8e93 addi t4,t4,-256 # 8f00 - 378: 01400193 li gp,20 - 37c: 15df1a63 bne t5,t4,4d0 +00000350 : + 350: 00000213 li tp,0 + 354: 00b00137 lui sp,0xb00 + 358: 00d000b7 lui ra,0xd00 + 35c: 02209f33 mulh t5,ra,sp + 360: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 364: 00200293 li t0,2 + 368: fe5216e3 bne tp,t0,354 + 36c: 00009eb7 lui t4,0x9 + 370: f00e8e93 addi t4,t4,-256 # 8f00 + 374: 01400193 li gp,20 + 378: 15df1a63 bne t5,t4,4cc -00000380 : - 380: 00000213 li tp,0 - 384: 00b00137 lui sp,0xb00 - 388: 00e000b7 lui ra,0xe00 - 38c: 00000013 nop - 390: 02209f33 mulh t5,ra,sp - 394: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 398: 00200293 li t0,2 - 39c: fe5214e3 bne tp,t0,384 - 3a0: 0000aeb7 lui t4,0xa - 3a4: a00e8e93 addi t4,t4,-1536 # 9a00 - 3a8: 01500193 li gp,21 - 3ac: 13df1263 bne t5,t4,4d0 +0000037c : + 37c: 00000213 li tp,0 + 380: 00b00137 lui sp,0xb00 + 384: 00e000b7 lui ra,0xe00 + 388: 00000013 nop + 38c: 02209f33 mulh t5,ra,sp + 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 394: 00200293 li t0,2 + 398: fe5214e3 bne tp,t0,380 + 39c: 0000aeb7 lui t4,0xa + 3a0: a00e8e93 addi t4,t4,-1536 # 9a00 + 3a4: 01500193 li gp,21 + 3a8: 13df1263 bne t5,t4,4cc -000003b0 : - 3b0: 00000213 li tp,0 - 3b4: 00b00137 lui sp,0xb00 - 3b8: 00f000b7 lui ra,0xf00 +000003ac : + 3ac: 00000213 li tp,0 + 3b0: 00b00137 lui sp,0xb00 + 3b4: 00f000b7 lui ra,0xf00 + 3b8: 00000013 nop 3bc: 00000013 nop - 3c0: 00000013 nop - 3c4: 02209f33 mulh t5,ra,sp - 3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3cc: 00200293 li t0,2 - 3d0: fe5212e3 bne tp,t0,3b4 - 3d4: 0000aeb7 lui t4,0xa - 3d8: 500e8e93 addi t4,t4,1280 # a500 - 3dc: 01600193 li gp,22 - 3e0: 0fdf1863 bne t5,t4,4d0 + 3c0: 02209f33 mulh t5,ra,sp + 3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c8: 00200293 li t0,2 + 3cc: fe5212e3 bne tp,t0,3b0 + 3d0: 0000aeb7 lui t4,0xa + 3d4: 500e8e93 addi t4,t4,1280 # a500 + 3d8: 01600193 li gp,22 + 3dc: 0fdf1863 bne t5,t4,4cc -000003e4 : - 3e4: 00000213 li tp,0 - 3e8: 00b00137 lui sp,0xb00 - 3ec: 00000013 nop - 3f0: 00d000b7 lui ra,0xd00 - 3f4: 02209f33 mulh t5,ra,sp - 3f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3fc: 00200293 li t0,2 - 400: fe5214e3 bne tp,t0,3e8 - 404: 00009eb7 lui t4,0x9 - 408: f00e8e93 addi t4,t4,-256 # 8f00 - 40c: 01700193 li gp,23 - 410: 0ddf1063 bne t5,t4,4d0 +000003e0 : + 3e0: 00000213 li tp,0 + 3e4: 00b00137 lui sp,0xb00 + 3e8: 00000013 nop + 3ec: 00d000b7 lui ra,0xd00 + 3f0: 02209f33 mulh t5,ra,sp + 3f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3f8: 00200293 li t0,2 + 3fc: fe5214e3 bne tp,t0,3e4 + 400: 00009eb7 lui t4,0x9 + 404: f00e8e93 addi t4,t4,-256 # 8f00 + 408: 01700193 li gp,23 + 40c: 0ddf1063 bne t5,t4,4cc -00000414 : - 414: 00000213 li tp,0 - 418: 00b00137 lui sp,0xb00 - 41c: 00000013 nop - 420: 00e000b7 lui ra,0xe00 - 424: 00000013 nop - 428: 02209f33 mulh t5,ra,sp - 42c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 430: 00200293 li t0,2 - 434: fe5212e3 bne tp,t0,418 - 438: 0000aeb7 lui t4,0xa - 43c: a00e8e93 addi t4,t4,-1536 # 9a00 - 440: 01800193 li gp,24 - 444: 09df1663 bne t5,t4,4d0 +00000410 : + 410: 00000213 li tp,0 + 414: 00b00137 lui sp,0xb00 + 418: 00000013 nop + 41c: 00e000b7 lui ra,0xe00 + 420: 00000013 nop + 424: 02209f33 mulh t5,ra,sp + 428: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 42c: 00200293 li t0,2 + 430: fe5212e3 bne tp,t0,414 + 434: 0000aeb7 lui t4,0xa + 438: a00e8e93 addi t4,t4,-1536 # 9a00 + 43c: 01800193 li gp,24 + 440: 09df1663 bne t5,t4,4cc -00000448 : - 448: 00000213 li tp,0 - 44c: 00b00137 lui sp,0xb00 +00000444 : + 444: 00000213 li tp,0 + 448: 00b00137 lui sp,0xb00 + 44c: 00000013 nop 450: 00000013 nop - 454: 00000013 nop - 458: 00f000b7 lui ra,0xf00 - 45c: 02209f33 mulh t5,ra,sp - 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 464: 00200293 li t0,2 - 468: fe5212e3 bne tp,t0,44c - 46c: 0000aeb7 lui t4,0xa - 470: 500e8e93 addi t4,t4,1280 # a500 - 474: 01900193 li gp,25 - 478: 05df1c63 bne t5,t4,4d0 + 454: 00f000b7 lui ra,0xf00 + 458: 02209f33 mulh t5,ra,sp + 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 460: 00200293 li t0,2 + 464: fe5212e3 bne tp,t0,448 + 468: 0000aeb7 lui t4,0xa + 46c: 500e8e93 addi t4,t4,1280 # a500 + 470: 01900193 li gp,25 + 474: 05df1c63 bne t5,t4,4cc -0000047c : - 47c: 7c0000b7 lui ra,0x7c000 - 480: 02101133 mulh sp,zero,ra - 484: 00000e93 li t4,0 - 488: 01a00193 li gp,26 - 48c: 05d11263 bne sp,t4,4d0 +00000478 : + 478: 7c0000b7 lui ra,0x7c000 + 47c: 02101133 mulh sp,zero,ra + 480: 00000e93 li t4,0 + 484: 01a00193 li gp,26 + 488: 05d11263 bne sp,t4,4cc -00000490 : - 490: 800000b7 lui ra,0x80000 - 494: 02009133 mulh sp,ra,zero - 498: 00000e93 li t4,0 - 49c: 01b00193 li gp,27 - 4a0: 03d11863 bne sp,t4,4d0 +0000048c : + 48c: 800000b7 lui ra,0x80000 + 490: 02009133 mulh sp,ra,zero + 494: 00000e93 li t4,0 + 498: 01b00193 li gp,27 + 49c: 03d11863 bne sp,t4,4cc -000004a4 : - 4a4: 020010b3 mulh ra,zero,zero - 4a8: 00000e93 li t4,0 - 4ac: 01c00193 li gp,28 - 4b0: 03d09063 bne ra,t4,4d0 +000004a0 : + 4a0: 020010b3 mulh ra,zero,zero + 4a4: 00000e93 li t4,0 + 4a8: 01c00193 li gp,28 + 4ac: 03d09063 bne ra,t4,4cc -000004b4 : - 4b4: 021000b7 lui ra,0x2100 - 4b8: 02200137 lui sp,0x2200 - 4bc: 02209033 mulh zero,ra,sp - 4c0: 00000e93 li t4,0 - 4c4: 01d00193 li gp,29 - 4c8: 01d01463 bne zero,t4,4d0 - 4cc: 00301863 bne zero,gp,4dc +000004b0 : + 4b0: 021000b7 lui ra,0x2100 + 4b4: 02200137 lui sp,0x2200 + 4b8: 02209033 mulh zero,ra,sp + 4bc: 00000e93 li t4,0 + 4c0: 01d00193 li gp,29 + 4c4: 01d01463 bne zero,t4,4cc + 4c8: 00301663 bne zero,gp,4d4 -000004d0 : - 4d0: 00000d93 li s11,0 - 4d4: 00100d13 li s10,1 +000004cc : + 4cc: 1000d073 csrwi sstatus,1 -000004d8 : - 4d8: 0000006f j 4d8 +000004d0 : + 4d0: 0000006f j 4d0 -000004dc : - 4dc: 00100d93 li s11,1 - 4e0: 00100d13 li s10,1 +000004d4 : + 4d4: 1001d073 csrwi sstatus,3 -000004e4 : - 4e4: 0000006f j 4e4 +000004d8 : + 4d8: 0000006f j 4d8 ... Disassembly of section .tohost: @@ -408,12 +405,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -422,4 +419,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-mulh.mem b/tests/isa/generated/rv32um-p-mulh.mem index d17297c..7e5e754 100644 --- a/tests/isa/generated/rv32um-p-mulh.mem +++ b/tests/isa/generated/rv32um-p-mulh.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 02209f33 @@ -305,12 +304,10 @@ fe5212e3 00000e93 01d00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulh.verilog b/tests/isa/generated/rv32um-p-mulh.verilog index 2889266..e18d8d1 100755 --- a/tests/isa/generated/rv32um-p-mulh.verilog +++ b/tests/isa/generated/rv32um-p-mulh.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 9F 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 9F 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 9F 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 9F 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 9F 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 9F 20 02 93 0E 00 00 -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 9F 20 02 B7 0E FF FF -93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 9F 20 02 -B7 0E FF FF 93 8E 1E 08 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 9F 20 02 B7 0E 01 00 -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 9F 20 02 93 0E 00 00 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 9F 20 02 93 0E F0 FF -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 9F 20 02 93 0E F0 FF 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 90 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 91 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 90 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 9F 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 9F 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 9F 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 9F 20 02 +73 50 00 10 93 00 00 00 13 01 00 00 33 9F 20 02 +93 0E 00 00 93 01 20 00 63 1A DF 4B 93 00 10 00 +13 01 10 00 33 9F 20 02 93 0E 00 00 93 01 30 00 +63 1E DF 49 93 00 30 00 13 01 70 00 33 9F 20 02 +93 0E 00 00 93 01 40 00 63 12 DF 49 93 00 00 00 +37 81 FF FF 33 9F 20 02 93 0E 00 00 93 01 50 00 +63 16 DF 47 B7 00 00 80 13 01 00 00 33 9F 20 02 +93 0E 00 00 93 01 60 00 63 1A DF 45 B7 00 00 80 +13 01 00 00 33 9F 20 02 93 0E 00 00 93 01 70 00 +63 1E DF 43 B7 B0 AA AA 93 80 B0 AA 37 01 03 00 +13 01 D1 E7 33 9F 20 02 B7 0E FF FF 93 8E 1E 08 +93 01 E0 01 63 1C DF 41 B7 00 03 00 93 80 D0 E7 +37 B1 AA AA 13 01 B1 AA 33 9F 20 02 B7 0E FF FF +93 8E 1E 08 93 01 F0 01 63 1A DF 3F B7 00 00 FF +37 01 00 FF 33 9F 20 02 B7 0E 01 00 93 01 00 02 +63 1E DF 3D 93 00 F0 FF 13 01 F0 FF 33 9F 20 02 +93 0E 00 00 93 01 10 02 63 12 DF 3D 93 00 F0 FF +13 01 10 00 33 9F 20 02 93 0E F0 FF 93 01 20 02 +63 16 DF 3B 93 00 10 00 13 01 F0 FF 33 9F 20 02 +93 0E F0 FF 93 01 30 02 63 1A DF 39 B7 00 D0 00 +37 01 B0 00 B3 90 20 02 B7 9E 00 00 93 8E 0E F0 +93 01 80 00 63 9C D0 37 B7 00 E0 00 37 01 B0 00 +33 91 20 02 B7 AE 00 00 93 8E 0E A0 93 01 90 00 +63 1E D1 35 B7 00 D0 00 B3 90 10 02 B7 BE 00 00 +93 8E 0E 90 93 01 A0 00 63 92 D0 35 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +93 8E 0E F0 93 01 B0 00 63 1A D3 31 13 02 00 00 +B7 00 E0 00 37 01 B0 00 33 9F 20 02 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 C0 00 63 10 D3 2F +13 02 00 00 B7 00 F0 00 37 01 B0 00 33 9F 20 02 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 D0 00 63 14 D3 2B 13 02 00 00 B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +E3 16 52 FE B7 9E 00 00 93 8E 0E F0 93 01 E0 00 +63 1E DF 27 13 02 00 00 B7 00 E0 00 37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 9F 20 02 +E3 14 52 FE B7 AE 00 00 93 8E 0E A0 93 01 F0 00 +63 16 DF 25 13 02 00 00 B7 00 F0 00 37 01 B0 00 +13 00 00 00 13 00 00 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 00 01 63 1C DF 21 13 02 00 00 B7 00 D0 00 +13 00 00 00 37 01 B0 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 10 01 63 14 DF 1F 13 02 00 00 B7 00 E0 00 +13 00 00 00 37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 11 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 91 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 10 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 90 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +93 8E 0E A0 93 01 20 01 63 1A DF 1B 13 02 00 00 +B7 00 F0 00 13 00 00 00 13 00 00 00 37 01 B0 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E 50 93 01 30 01 63 10 DF 19 +13 02 00 00 37 01 B0 00 B7 00 D0 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 40 01 63 1A DF 15 13 02 00 00 +37 01 B0 00 B7 00 E0 00 13 00 00 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 AE 00 00 +93 8E 0E A0 93 01 50 01 63 12 DF 13 13 02 00 00 +37 01 B0 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E 50 93 01 60 01 63 18 DF 0F +13 02 00 00 37 01 B0 00 13 00 00 00 B7 00 D0 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 70 01 63 10 DF 0D +13 02 00 00 37 01 B0 00 13 00 00 00 B7 00 E0 00 +13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 80 01 +63 16 DF 09 13 02 00 00 37 01 B0 00 13 00 00 00 +13 00 00 00 B7 00 F0 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 90 01 63 1C DF 05 B7 00 00 7C 33 11 10 02 +93 0E 00 00 93 01 A0 01 63 12 D1 05 B7 00 00 80 +33 91 00 02 93 0E 00 00 93 01 B0 01 63 18 D1 03 +B3 10 00 02 93 0E 00 00 93 01 C0 01 63 90 D0 03 +B7 00 10 02 37 01 20 02 33 90 20 02 93 0E 00 00 +93 01 D0 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32um-p-mulhsu b/tests/isa/generated/rv32um-p-mulhsu index 31d7dff5c55e8fb2100e06b805cc4104b026dc64..94db782099d7d375dc756fa6ba7f2e105ca590ae 100755 GIT binary patch delta 727 zcmYk&JxD@P7zW_)*e#?Vx?n%1CYgb5iIo&Y5JWUoIApZ+BT3+3mWnh8E{+b#ZER?0 z6e?_Ra0zU4aBye{YG`O^XmDt7=v67+Z}`speCNVB_cQ}Pfy*g%^x%3M){;TdOJ@C9 z)5m<0tcxBO!K(ClA$;dvorV(80YpgruTR2B9!e#O!{7J6h zd~x)kbxKJUYmi)nGvo$bB)4Ddo%{jo8d|56d|_Q9H{lC%sD(kE1{(hMhTMMcd$JpD wkVoJ;*$KZGTh`OQ&_+0NzEpa$dQ8e~gzXliWz$vB7_xg*#aKXh8vFL~Uj_zB2><{9 delta 730 zcmYk%F-QVo6bJD4*%b~+7aEzur8wviBnD34h;?s2@MSm4GlsKjmqYnI(8bD?)U^>eu*s&6ck-fKh=bYuOe7sS3U6i5Dk;N!9*Y(2IO&&? z2_^EX{o9G?`=coFAjiml0mCAyKsS=_{O443k`o;B@e@OasY0TUGO{E ofZOJ(`(S8)b~}-a*P8C2O--6#o`AYBN4-JyV9ud?%#?Th2Ww(TV*mgE diff --git a/tests/isa/generated/rv32um-p-mulhsu.bin b/tests/isa/generated/rv32um-p-mulhsu.bin index 066dbde07d6cd8f8529a8fecc3e12168a2876b83..1c7972648a632438d8b05ee1c5e1fc85d67063b3 100755 GIT binary patch delta 37 rcmeC+?%-xA4qy=2$Z?cKK+J%l_yU7KJ_7@gW)#Su{E=n)BvuIkw8RQ2 delta 46 rcmeC+?%?JS=4D`*48$8*j: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 0220af33 mulhsu t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4bdf1a63 bne t5,t4,4d0 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 0220af33 mulhsu t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4bdf1a63 bne t5,t4,4cc -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 0220af33 mulhsu t5,ra,sp - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 49df1e63 bne t5,t4,4d0 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 0220af33 mulhsu t5,ra,sp + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 49df1e63 bne t5,t4,4cc -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 0220af33 mulhsu t5,ra,sp - 44: 00000e93 li t4,0 - 48: 00400193 li gp,4 - 4c: 49df1263 bne t5,t4,4d0 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 0220af33 mulhsu t5,ra,sp + 40: 00000e93 li t4,0 + 44: 00400193 li gp,4 + 48: 49df1263 bne t5,t4,4cc -00000050 : - 50: 00000093 li ra,0 - 54: ffff8137 lui sp,0xffff8 - 58: 0220af33 mulhsu t5,ra,sp - 5c: 00000e93 li t4,0 - 60: 00500193 li gp,5 - 64: 47df1663 bne t5,t4,4d0 +0000004c : + 4c: 00000093 li ra,0 + 50: ffff8137 lui sp,0xffff8 + 54: 0220af33 mulhsu t5,ra,sp + 58: 00000e93 li t4,0 + 5c: 00500193 li gp,5 + 60: 47df1663 bne t5,t4,4cc -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00000113 li sp,0 - 70: 0220af33 mulhsu t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 45df1a63 bne t5,t4,4d0 +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00000113 li sp,0 + 6c: 0220af33 mulhsu t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 45df1a63 bne t5,t4,4cc -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: ffff8137 lui sp,0xffff8 - 88: 0220af33 mulhsu t5,ra,sp - 8c: 80004eb7 lui t4,0x80004 - 90: 00700193 li gp,7 - 94: 43df1e63 bne t5,t4,4d0 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: ffff8137 lui sp,0xffff8 + 84: 0220af33 mulhsu t5,ra,sp + 88: 80004eb7 lui t4,0x80004 + 8c: 00700193 li gp,7 + 90: 43df1e63 bne t5,t4,4cc -00000098 : - 98: aaaab0b7 lui ra,0xaaaab - 9c: aab08093 addi ra,ra,-1365 # aaaaaaab - a0: 00030137 lui sp,0x30 - a4: e7d10113 addi sp,sp,-387 # 2fe7d - a8: 0220af33 mulhsu t5,ra,sp - ac: ffff0eb7 lui t4,0xffff0 - b0: 081e8e93 addi t4,t4,129 # ffff0081 - b4: 01e00193 li gp,30 - b8: 41df1c63 bne t5,t4,4d0 +00000094 : + 94: aaaab0b7 lui ra,0xaaaab + 98: aab08093 addi ra,ra,-1365 # aaaaaaab + 9c: 00030137 lui sp,0x30 + a0: e7d10113 addi sp,sp,-387 # 2fe7d + a4: 0220af33 mulhsu t5,ra,sp + a8: ffff0eb7 lui t4,0xffff0 + ac: 081e8e93 addi t4,t4,129 # ffff0081 + b0: 01e00193 li gp,30 + b4: 41df1c63 bne t5,t4,4cc -000000bc : - bc: 000300b7 lui ra,0x30 - c0: e7d08093 addi ra,ra,-387 # 2fe7d - c4: aaaab137 lui sp,0xaaaab - c8: aab10113 addi sp,sp,-1365 # aaaaaaab - cc: 0220af33 mulhsu t5,ra,sp - d0: 00020eb7 lui t4,0x20 - d4: efee8e93 addi t4,t4,-258 # 1fefe - d8: 01f00193 li gp,31 - dc: 3fdf1a63 bne t5,t4,4d0 +000000b8 : + b8: 000300b7 lui ra,0x30 + bc: e7d08093 addi ra,ra,-387 # 2fe7d + c0: aaaab137 lui sp,0xaaaab + c4: aab10113 addi sp,sp,-1365 # aaaaaaab + c8: 0220af33 mulhsu t5,ra,sp + cc: 00020eb7 lui t4,0x20 + d0: efee8e93 addi t4,t4,-258 # 1fefe + d4: 01f00193 li gp,31 + d8: 3fdf1a63 bne t5,t4,4cc -000000e0 : - e0: ff0000b7 lui ra,0xff000 - e4: ff000137 lui sp,0xff000 - e8: 0220af33 mulhsu t5,ra,sp - ec: ff010eb7 lui t4,0xff010 - f0: 02000193 li gp,32 - f4: 3ddf1e63 bne t5,t4,4d0 +000000dc : + dc: ff0000b7 lui ra,0xff000 + e0: ff000137 lui sp,0xff000 + e4: 0220af33 mulhsu t5,ra,sp + e8: ff010eb7 lui t4,0xff010 + ec: 02000193 li gp,32 + f0: 3ddf1e63 bne t5,t4,4cc -000000f8 : - f8: fff00093 li ra,-1 - fc: fff00113 li sp,-1 - 100: 0220af33 mulhsu t5,ra,sp - 104: fff00e93 li t4,-1 - 108: 02100193 li gp,33 - 10c: 3ddf1263 bne t5,t4,4d0 +000000f4 : + f4: fff00093 li ra,-1 + f8: fff00113 li sp,-1 + fc: 0220af33 mulhsu t5,ra,sp + 100: fff00e93 li t4,-1 + 104: 02100193 li gp,33 + 108: 3ddf1263 bne t5,t4,4cc -00000110 : - 110: fff00093 li ra,-1 - 114: 00100113 li sp,1 - 118: 0220af33 mulhsu t5,ra,sp - 11c: fff00e93 li t4,-1 - 120: 02200193 li gp,34 - 124: 3bdf1663 bne t5,t4,4d0 +0000010c : + 10c: fff00093 li ra,-1 + 110: 00100113 li sp,1 + 114: 0220af33 mulhsu t5,ra,sp + 118: fff00e93 li t4,-1 + 11c: 02200193 li gp,34 + 120: 3bdf1663 bne t5,t4,4cc -00000128 : - 128: 00100093 li ra,1 - 12c: fff00113 li sp,-1 - 130: 0220af33 mulhsu t5,ra,sp - 134: 00000e93 li t4,0 - 138: 02300193 li gp,35 - 13c: 39df1a63 bne t5,t4,4d0 +00000124 : + 124: 00100093 li ra,1 + 128: fff00113 li sp,-1 + 12c: 0220af33 mulhsu t5,ra,sp + 130: 00000e93 li t4,0 + 134: 02300193 li gp,35 + 138: 39df1a63 bne t5,t4,4cc -00000140 : - 140: 00d000b7 lui ra,0xd00 - 144: 00b00137 lui sp,0xb00 - 148: 0220a0b3 mulhsu ra,ra,sp - 14c: 00009eb7 lui t4,0x9 - 150: f00e8e93 addi t4,t4,-256 # 8f00 - 154: 00800193 li gp,8 - 158: 37d09c63 bne ra,t4,4d0 +0000013c : + 13c: 00d000b7 lui ra,0xd00 + 140: 00b00137 lui sp,0xb00 + 144: 0220a0b3 mulhsu ra,ra,sp + 148: 00009eb7 lui t4,0x9 + 14c: f00e8e93 addi t4,t4,-256 # 8f00 + 150: 00800193 li gp,8 + 154: 37d09c63 bne ra,t4,4cc -0000015c : - 15c: 00e000b7 lui ra,0xe00 - 160: 00b00137 lui sp,0xb00 - 164: 0220a133 mulhsu sp,ra,sp - 168: 0000aeb7 lui t4,0xa - 16c: a00e8e93 addi t4,t4,-1536 # 9a00 - 170: 00900193 li gp,9 - 174: 35d11e63 bne sp,t4,4d0 +00000158 : + 158: 00e000b7 lui ra,0xe00 + 15c: 00b00137 lui sp,0xb00 + 160: 0220a133 mulhsu sp,ra,sp + 164: 0000aeb7 lui t4,0xa + 168: a00e8e93 addi t4,t4,-1536 # 9a00 + 16c: 00900193 li gp,9 + 170: 35d11e63 bne sp,t4,4cc -00000178 : - 178: 00d000b7 lui ra,0xd00 - 17c: 0210a0b3 mulhsu ra,ra,ra - 180: 0000beb7 lui t4,0xb - 184: 900e8e93 addi t4,t4,-1792 # a900 - 188: 00a00193 li gp,10 - 18c: 35d09263 bne ra,t4,4d0 +00000174 : + 174: 00d000b7 lui ra,0xd00 + 178: 0210a0b3 mulhsu ra,ra,ra + 17c: 0000beb7 lui t4,0xb + 180: 900e8e93 addi t4,t4,-1792 # a900 + 184: 00a00193 li gp,10 + 188: 35d09263 bne ra,t4,4cc -00000190 : - 190: 00000213 li tp,0 - 194: 00d000b7 lui ra,0xd00 - 198: 00b00137 lui sp,0xb00 - 19c: 0220af33 mulhsu t5,ra,sp - 1a0: 000f0313 mv t1,t5 - 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a8: 00200293 li t0,2 - 1ac: fe5214e3 bne tp,t0,194 - 1b0: 00009eb7 lui t4,0x9 - 1b4: f00e8e93 addi t4,t4,-256 # 8f00 - 1b8: 00b00193 li gp,11 - 1bc: 31d31a63 bne t1,t4,4d0 +0000018c : + 18c: 00000213 li tp,0 + 190: 00d000b7 lui ra,0xd00 + 194: 00b00137 lui sp,0xb00 + 198: 0220af33 mulhsu t5,ra,sp + 19c: 000f0313 mv t1,t5 + 1a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a4: 00200293 li t0,2 + 1a8: fe5214e3 bne tp,t0,190 + 1ac: 00009eb7 lui t4,0x9 + 1b0: f00e8e93 addi t4,t4,-256 # 8f00 + 1b4: 00b00193 li gp,11 + 1b8: 31d31a63 bne t1,t4,4cc -000001c0 : - 1c0: 00000213 li tp,0 - 1c4: 00e000b7 lui ra,0xe00 - 1c8: 00b00137 lui sp,0xb00 - 1cc: 0220af33 mulhsu t5,ra,sp - 1d0: 00000013 nop - 1d4: 000f0313 mv t1,t5 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5212e3 bne tp,t0,1c4 - 1e4: 0000aeb7 lui t4,0xa - 1e8: a00e8e93 addi t4,t4,-1536 # 9a00 - 1ec: 00c00193 li gp,12 - 1f0: 2fd31063 bne t1,t4,4d0 +000001bc : + 1bc: 00000213 li tp,0 + 1c0: 00e000b7 lui ra,0xe00 + 1c4: 00b00137 lui sp,0xb00 + 1c8: 0220af33 mulhsu t5,ra,sp + 1cc: 00000013 nop + 1d0: 000f0313 mv t1,t5 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5212e3 bne tp,t0,1c0 + 1e0: 0000aeb7 lui t4,0xa + 1e4: a00e8e93 addi t4,t4,-1536 # 9a00 + 1e8: 00c00193 li gp,12 + 1ec: 2fd31063 bne t1,t4,4cc -000001f4 : - 1f4: 00000213 li tp,0 - 1f8: 00f000b7 lui ra,0xf00 - 1fc: 00b00137 lui sp,0xb00 - 200: 0220af33 mulhsu t5,ra,sp +000001f0 : + 1f0: 00000213 li tp,0 + 1f4: 00f000b7 lui ra,0xf00 + 1f8: 00b00137 lui sp,0xb00 + 1fc: 0220af33 mulhsu t5,ra,sp + 200: 00000013 nop 204: 00000013 nop - 208: 00000013 nop - 20c: 000f0313 mv t1,t5 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5210e3 bne tp,t0,1f8 - 21c: 0000aeb7 lui t4,0xa - 220: 500e8e93 addi t4,t4,1280 # a500 - 224: 00d00193 li gp,13 - 228: 2bd31463 bne t1,t4,4d0 + 208: 000f0313 mv t1,t5 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5210e3 bne tp,t0,1f4 + 218: 0000aeb7 lui t4,0xa + 21c: 500e8e93 addi t4,t4,1280 # a500 + 220: 00d00193 li gp,13 + 224: 2bd31463 bne t1,t4,4cc -0000022c : - 22c: 00000213 li tp,0 - 230: 00d000b7 lui ra,0xd00 - 234: 00b00137 lui sp,0xb00 - 238: 0220af33 mulhsu t5,ra,sp - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fe5216e3 bne tp,t0,230 - 248: 00009eb7 lui t4,0x9 - 24c: f00e8e93 addi t4,t4,-256 # 8f00 - 250: 00e00193 li gp,14 - 254: 27df1e63 bne t5,t4,4d0 +00000228 : + 228: 00000213 li tp,0 + 22c: 00d000b7 lui ra,0xd00 + 230: 00b00137 lui sp,0xb00 + 234: 0220af33 mulhsu t5,ra,sp + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fe5216e3 bne tp,t0,22c + 244: 00009eb7 lui t4,0x9 + 248: f00e8e93 addi t4,t4,-256 # 8f00 + 24c: 00e00193 li gp,14 + 250: 27df1e63 bne t5,t4,4cc -00000258 : - 258: 00000213 li tp,0 - 25c: 00e000b7 lui ra,0xe00 - 260: 00b00137 lui sp,0xb00 - 264: 00000013 nop - 268: 0220af33 mulhsu t5,ra,sp - 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 270: 00200293 li t0,2 - 274: fe5214e3 bne tp,t0,25c - 278: 0000aeb7 lui t4,0xa - 27c: a00e8e93 addi t4,t4,-1536 # 9a00 - 280: 00f00193 li gp,15 - 284: 25df1663 bne t5,t4,4d0 +00000254 : + 254: 00000213 li tp,0 + 258: 00e000b7 lui ra,0xe00 + 25c: 00b00137 lui sp,0xb00 + 260: 00000013 nop + 264: 0220af33 mulhsu t5,ra,sp + 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 26c: 00200293 li t0,2 + 270: fe5214e3 bne tp,t0,258 + 274: 0000aeb7 lui t4,0xa + 278: a00e8e93 addi t4,t4,-1536 # 9a00 + 27c: 00f00193 li gp,15 + 280: 25df1663 bne t5,t4,4cc -00000288 : - 288: 00000213 li tp,0 - 28c: 00f000b7 lui ra,0xf00 - 290: 00b00137 lui sp,0xb00 +00000284 : + 284: 00000213 li tp,0 + 288: 00f000b7 lui ra,0xf00 + 28c: 00b00137 lui sp,0xb00 + 290: 00000013 nop 294: 00000013 nop - 298: 00000013 nop - 29c: 0220af33 mulhsu t5,ra,sp - 2a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2a4: 00200293 li t0,2 - 2a8: fe5212e3 bne tp,t0,28c - 2ac: 0000aeb7 lui t4,0xa - 2b0: 500e8e93 addi t4,t4,1280 # a500 - 2b4: 01000193 li gp,16 - 2b8: 21df1c63 bne t5,t4,4d0 + 298: 0220af33 mulhsu t5,ra,sp + 29c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2a0: 00200293 li t0,2 + 2a4: fe5212e3 bne tp,t0,288 + 2a8: 0000aeb7 lui t4,0xa + 2ac: 500e8e93 addi t4,t4,1280 # a500 + 2b0: 01000193 li gp,16 + 2b4: 21df1c63 bne t5,t4,4cc -000002bc : - 2bc: 00000213 li tp,0 - 2c0: 00d000b7 lui ra,0xd00 - 2c4: 00000013 nop - 2c8: 00b00137 lui sp,0xb00 - 2cc: 0220af33 mulhsu t5,ra,sp - 2d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2d4: 00200293 li t0,2 - 2d8: fe5214e3 bne tp,t0,2c0 - 2dc: 00009eb7 lui t4,0x9 - 2e0: f00e8e93 addi t4,t4,-256 # 8f00 - 2e4: 01100193 li gp,17 - 2e8: 1fdf1463 bne t5,t4,4d0 +000002b8 : + 2b8: 00000213 li tp,0 + 2bc: 00d000b7 lui ra,0xd00 + 2c0: 00000013 nop + 2c4: 00b00137 lui sp,0xb00 + 2c8: 0220af33 mulhsu t5,ra,sp + 2cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2d0: 00200293 li t0,2 + 2d4: fe5214e3 bne tp,t0,2bc + 2d8: 00009eb7 lui t4,0x9 + 2dc: f00e8e93 addi t4,t4,-256 # 8f00 + 2e0: 01100193 li gp,17 + 2e4: 1fdf1463 bne t5,t4,4cc -000002ec : - 2ec: 00000213 li tp,0 - 2f0: 00e000b7 lui ra,0xe00 - 2f4: 00000013 nop - 2f8: 00b00137 lui sp,0xb00 - 2fc: 00000013 nop - 300: 0220af33 mulhsu t5,ra,sp - 304: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 308: 00200293 li t0,2 - 30c: fe5212e3 bne tp,t0,2f0 - 310: 0000aeb7 lui t4,0xa - 314: a00e8e93 addi t4,t4,-1536 # 9a00 - 318: 01200193 li gp,18 - 31c: 1bdf1a63 bne t5,t4,4d0 +000002e8 : + 2e8: 00000213 li tp,0 + 2ec: 00e000b7 lui ra,0xe00 + 2f0: 00000013 nop + 2f4: 00b00137 lui sp,0xb00 + 2f8: 00000013 nop + 2fc: 0220af33 mulhsu t5,ra,sp + 300: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 304: 00200293 li t0,2 + 308: fe5212e3 bne tp,t0,2ec + 30c: 0000aeb7 lui t4,0xa + 310: a00e8e93 addi t4,t4,-1536 # 9a00 + 314: 01200193 li gp,18 + 318: 1bdf1a63 bne t5,t4,4cc -00000320 : - 320: 00000213 li tp,0 - 324: 00f000b7 lui ra,0xf00 +0000031c : + 31c: 00000213 li tp,0 + 320: 00f000b7 lui ra,0xf00 + 324: 00000013 nop 328: 00000013 nop - 32c: 00000013 nop - 330: 00b00137 lui sp,0xb00 - 334: 0220af33 mulhsu t5,ra,sp - 338: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 33c: 00200293 li t0,2 - 340: fe5212e3 bne tp,t0,324 - 344: 0000aeb7 lui t4,0xa - 348: 500e8e93 addi t4,t4,1280 # a500 - 34c: 01300193 li gp,19 - 350: 19df1063 bne t5,t4,4d0 + 32c: 00b00137 lui sp,0xb00 + 330: 0220af33 mulhsu t5,ra,sp + 334: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 338: 00200293 li t0,2 + 33c: fe5212e3 bne tp,t0,320 + 340: 0000aeb7 lui t4,0xa + 344: 500e8e93 addi t4,t4,1280 # a500 + 348: 01300193 li gp,19 + 34c: 19df1063 bne t5,t4,4cc -00000354 : - 354: 00000213 li tp,0 - 358: 00b00137 lui sp,0xb00 - 35c: 00d000b7 lui ra,0xd00 - 360: 0220af33 mulhsu t5,ra,sp - 364: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 368: 00200293 li t0,2 - 36c: fe5216e3 bne tp,t0,358 - 370: 00009eb7 lui t4,0x9 - 374: f00e8e93 addi t4,t4,-256 # 8f00 - 378: 01400193 li gp,20 - 37c: 15df1a63 bne t5,t4,4d0 +00000350 : + 350: 00000213 li tp,0 + 354: 00b00137 lui sp,0xb00 + 358: 00d000b7 lui ra,0xd00 + 35c: 0220af33 mulhsu t5,ra,sp + 360: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 364: 00200293 li t0,2 + 368: fe5216e3 bne tp,t0,354 + 36c: 00009eb7 lui t4,0x9 + 370: f00e8e93 addi t4,t4,-256 # 8f00 + 374: 01400193 li gp,20 + 378: 15df1a63 bne t5,t4,4cc -00000380 : - 380: 00000213 li tp,0 - 384: 00b00137 lui sp,0xb00 - 388: 00e000b7 lui ra,0xe00 - 38c: 00000013 nop - 390: 0220af33 mulhsu t5,ra,sp - 394: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 398: 00200293 li t0,2 - 39c: fe5214e3 bne tp,t0,384 - 3a0: 0000aeb7 lui t4,0xa - 3a4: a00e8e93 addi t4,t4,-1536 # 9a00 - 3a8: 01500193 li gp,21 - 3ac: 13df1263 bne t5,t4,4d0 +0000037c : + 37c: 00000213 li tp,0 + 380: 00b00137 lui sp,0xb00 + 384: 00e000b7 lui ra,0xe00 + 388: 00000013 nop + 38c: 0220af33 mulhsu t5,ra,sp + 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 394: 00200293 li t0,2 + 398: fe5214e3 bne tp,t0,380 + 39c: 0000aeb7 lui t4,0xa + 3a0: a00e8e93 addi t4,t4,-1536 # 9a00 + 3a4: 01500193 li gp,21 + 3a8: 13df1263 bne t5,t4,4cc -000003b0 : - 3b0: 00000213 li tp,0 - 3b4: 00b00137 lui sp,0xb00 - 3b8: 00f000b7 lui ra,0xf00 +000003ac : + 3ac: 00000213 li tp,0 + 3b0: 00b00137 lui sp,0xb00 + 3b4: 00f000b7 lui ra,0xf00 + 3b8: 00000013 nop 3bc: 00000013 nop - 3c0: 00000013 nop - 3c4: 0220af33 mulhsu t5,ra,sp - 3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3cc: 00200293 li t0,2 - 3d0: fe5212e3 bne tp,t0,3b4 - 3d4: 0000aeb7 lui t4,0xa - 3d8: 500e8e93 addi t4,t4,1280 # a500 - 3dc: 01600193 li gp,22 - 3e0: 0fdf1863 bne t5,t4,4d0 + 3c0: 0220af33 mulhsu t5,ra,sp + 3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c8: 00200293 li t0,2 + 3cc: fe5212e3 bne tp,t0,3b0 + 3d0: 0000aeb7 lui t4,0xa + 3d4: 500e8e93 addi t4,t4,1280 # a500 + 3d8: 01600193 li gp,22 + 3dc: 0fdf1863 bne t5,t4,4cc -000003e4 : - 3e4: 00000213 li tp,0 - 3e8: 00b00137 lui sp,0xb00 - 3ec: 00000013 nop - 3f0: 00d000b7 lui ra,0xd00 - 3f4: 0220af33 mulhsu t5,ra,sp - 3f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3fc: 00200293 li t0,2 - 400: fe5214e3 bne tp,t0,3e8 - 404: 00009eb7 lui t4,0x9 - 408: f00e8e93 addi t4,t4,-256 # 8f00 - 40c: 01700193 li gp,23 - 410: 0ddf1063 bne t5,t4,4d0 +000003e0 : + 3e0: 00000213 li tp,0 + 3e4: 00b00137 lui sp,0xb00 + 3e8: 00000013 nop + 3ec: 00d000b7 lui ra,0xd00 + 3f0: 0220af33 mulhsu t5,ra,sp + 3f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3f8: 00200293 li t0,2 + 3fc: fe5214e3 bne tp,t0,3e4 + 400: 00009eb7 lui t4,0x9 + 404: f00e8e93 addi t4,t4,-256 # 8f00 + 408: 01700193 li gp,23 + 40c: 0ddf1063 bne t5,t4,4cc -00000414 : - 414: 00000213 li tp,0 - 418: 00b00137 lui sp,0xb00 - 41c: 00000013 nop - 420: 00e000b7 lui ra,0xe00 - 424: 00000013 nop - 428: 0220af33 mulhsu t5,ra,sp - 42c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 430: 00200293 li t0,2 - 434: fe5212e3 bne tp,t0,418 - 438: 0000aeb7 lui t4,0xa - 43c: a00e8e93 addi t4,t4,-1536 # 9a00 - 440: 01800193 li gp,24 - 444: 09df1663 bne t5,t4,4d0 +00000410 : + 410: 00000213 li tp,0 + 414: 00b00137 lui sp,0xb00 + 418: 00000013 nop + 41c: 00e000b7 lui ra,0xe00 + 420: 00000013 nop + 424: 0220af33 mulhsu t5,ra,sp + 428: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 42c: 00200293 li t0,2 + 430: fe5212e3 bne tp,t0,414 + 434: 0000aeb7 lui t4,0xa + 438: a00e8e93 addi t4,t4,-1536 # 9a00 + 43c: 01800193 li gp,24 + 440: 09df1663 bne t5,t4,4cc -00000448 : - 448: 00000213 li tp,0 - 44c: 00b00137 lui sp,0xb00 +00000444 : + 444: 00000213 li tp,0 + 448: 00b00137 lui sp,0xb00 + 44c: 00000013 nop 450: 00000013 nop - 454: 00000013 nop - 458: 00f000b7 lui ra,0xf00 - 45c: 0220af33 mulhsu t5,ra,sp - 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 464: 00200293 li t0,2 - 468: fe5212e3 bne tp,t0,44c - 46c: 0000aeb7 lui t4,0xa - 470: 500e8e93 addi t4,t4,1280 # a500 - 474: 01900193 li gp,25 - 478: 05df1c63 bne t5,t4,4d0 + 454: 00f000b7 lui ra,0xf00 + 458: 0220af33 mulhsu t5,ra,sp + 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 460: 00200293 li t0,2 + 464: fe5212e3 bne tp,t0,448 + 468: 0000aeb7 lui t4,0xa + 46c: 500e8e93 addi t4,t4,1280 # a500 + 470: 01900193 li gp,25 + 474: 05df1c63 bne t5,t4,4cc -0000047c : - 47c: 7c0000b7 lui ra,0x7c000 - 480: 02102133 mulhsu sp,zero,ra - 484: 00000e93 li t4,0 - 488: 01a00193 li gp,26 - 48c: 05d11263 bne sp,t4,4d0 +00000478 : + 478: 7c0000b7 lui ra,0x7c000 + 47c: 02102133 mulhsu sp,zero,ra + 480: 00000e93 li t4,0 + 484: 01a00193 li gp,26 + 488: 05d11263 bne sp,t4,4cc -00000490 : - 490: 800000b7 lui ra,0x80000 - 494: 0200a133 mulhsu sp,ra,zero - 498: 00000e93 li t4,0 - 49c: 01b00193 li gp,27 - 4a0: 03d11863 bne sp,t4,4d0 +0000048c : + 48c: 800000b7 lui ra,0x80000 + 490: 0200a133 mulhsu sp,ra,zero + 494: 00000e93 li t4,0 + 498: 01b00193 li gp,27 + 49c: 03d11863 bne sp,t4,4cc -000004a4 : - 4a4: 020020b3 mulhsu ra,zero,zero - 4a8: 00000e93 li t4,0 - 4ac: 01c00193 li gp,28 - 4b0: 03d09063 bne ra,t4,4d0 +000004a0 : + 4a0: 020020b3 mulhsu ra,zero,zero + 4a4: 00000e93 li t4,0 + 4a8: 01c00193 li gp,28 + 4ac: 03d09063 bne ra,t4,4cc -000004b4 : - 4b4: 021000b7 lui ra,0x2100 - 4b8: 02200137 lui sp,0x2200 - 4bc: 0220a033 mulhsu zero,ra,sp - 4c0: 00000e93 li t4,0 - 4c4: 01d00193 li gp,29 - 4c8: 01d01463 bne zero,t4,4d0 - 4cc: 00301863 bne zero,gp,4dc +000004b0 : + 4b0: 021000b7 lui ra,0x2100 + 4b4: 02200137 lui sp,0x2200 + 4b8: 0220a033 mulhsu zero,ra,sp + 4bc: 00000e93 li t4,0 + 4c0: 01d00193 li gp,29 + 4c4: 01d01463 bne zero,t4,4cc + 4c8: 00301663 bne zero,gp,4d4 -000004d0 : - 4d0: 00000d93 li s11,0 - 4d4: 00100d13 li s10,1 +000004cc : + 4cc: 1000d073 csrwi sstatus,1 -000004d8 : - 4d8: 0000006f j 4d8 +000004d0 : + 4d0: 0000006f j 4d0 -000004dc : - 4dc: 00100d93 li s11,1 - 4e0: 00100d13 li s10,1 +000004d4 : + 4d4: 1001d073 csrwi sstatus,3 -000004e4 : - 4e4: 0000006f j 4e4 +000004d8 : + 4d8: 0000006f j 4d8 ... Disassembly of section .tohost: @@ -408,12 +405,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -422,4 +419,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-mulhsu.mem b/tests/isa/generated/rv32um-p-mulhsu.mem index 0aac359..86d53a1 100644 --- a/tests/isa/generated/rv32um-p-mulhsu.mem +++ b/tests/isa/generated/rv32um-p-mulhsu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 0220af33 @@ -305,12 +304,10 @@ fe5212e3 00000e93 01d00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulhsu.verilog b/tests/isa/generated/rv32um-p-mulhsu.verilog index 8e2c668..5c7c774 100755 --- a/tests/isa/generated/rv32um-p-mulhsu.verilog +++ b/tests/isa/generated/rv32um-p-mulhsu.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 AF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 AF 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 AF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 AF 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 AF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 37 81 FF FF 33 AF 20 02 B7 4E 00 80 -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 AF 20 02 B7 0E FF FF -93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 AF 20 02 -B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 AF 20 02 B7 0E 01 FF -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 AF 20 02 93 0E F0 FF 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 AF 20 02 93 0E F0 FF -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 AF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 A0 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 A1 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 A0 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 AF 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 AF 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 AF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 AF 20 02 +73 50 00 10 93 00 00 00 13 01 00 00 33 AF 20 02 +93 0E 00 00 93 01 20 00 63 1A DF 4B 93 00 10 00 +13 01 10 00 33 AF 20 02 93 0E 00 00 93 01 30 00 +63 1E DF 49 93 00 30 00 13 01 70 00 33 AF 20 02 +93 0E 00 00 93 01 40 00 63 12 DF 49 93 00 00 00 +37 81 FF FF 33 AF 20 02 93 0E 00 00 93 01 50 00 +63 16 DF 47 B7 00 00 80 13 01 00 00 33 AF 20 02 +93 0E 00 00 93 01 60 00 63 1A DF 45 B7 00 00 80 +37 81 FF FF 33 AF 20 02 B7 4E 00 80 93 01 70 00 +63 1E DF 43 B7 B0 AA AA 93 80 B0 AA 37 01 03 00 +13 01 D1 E7 33 AF 20 02 B7 0E FF FF 93 8E 1E 08 +93 01 E0 01 63 1C DF 41 B7 00 03 00 93 80 D0 E7 +37 B1 AA AA 13 01 B1 AA 33 AF 20 02 B7 0E 02 00 +93 8E EE EF 93 01 F0 01 63 1A DF 3F B7 00 00 FF +37 01 00 FF 33 AF 20 02 B7 0E 01 FF 93 01 00 02 +63 1E DF 3D 93 00 F0 FF 13 01 F0 FF 33 AF 20 02 +93 0E F0 FF 93 01 10 02 63 12 DF 3D 93 00 F0 FF +13 01 10 00 33 AF 20 02 93 0E F0 FF 93 01 20 02 +63 16 DF 3B 93 00 10 00 13 01 F0 FF 33 AF 20 02 +93 0E 00 00 93 01 30 02 63 1A DF 39 B7 00 D0 00 +37 01 B0 00 B3 A0 20 02 B7 9E 00 00 93 8E 0E F0 +93 01 80 00 63 9C D0 37 B7 00 E0 00 37 01 B0 00 +33 A1 20 02 B7 AE 00 00 93 8E 0E A0 93 01 90 00 +63 1E D1 35 B7 00 D0 00 B3 A0 10 02 B7 BE 00 00 +93 8E 0E 90 93 01 A0 00 63 92 D0 35 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +93 8E 0E F0 93 01 B0 00 63 1A D3 31 13 02 00 00 +B7 00 E0 00 37 01 B0 00 33 AF 20 02 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 C0 00 63 10 D3 2F +13 02 00 00 B7 00 F0 00 37 01 B0 00 33 AF 20 02 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 D0 00 63 14 D3 2B 13 02 00 00 B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +E3 16 52 FE B7 9E 00 00 93 8E 0E F0 93 01 E0 00 +63 1E DF 27 13 02 00 00 B7 00 E0 00 37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 AF 20 02 +E3 14 52 FE B7 AE 00 00 93 8E 0E A0 93 01 F0 00 +63 16 DF 25 13 02 00 00 B7 00 F0 00 37 01 B0 00 +13 00 00 00 13 00 00 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 00 01 63 1C DF 21 13 02 00 00 B7 00 D0 00 +13 00 00 00 37 01 B0 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 10 01 63 14 DF 1F 13 02 00 00 B7 00 E0 00 +13 00 00 00 37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 21 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 A1 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 20 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 A0 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +93 8E 0E A0 93 01 20 01 63 1A DF 1B 13 02 00 00 +B7 00 F0 00 13 00 00 00 13 00 00 00 37 01 B0 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E 50 93 01 30 01 63 10 DF 19 +13 02 00 00 37 01 B0 00 B7 00 D0 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 40 01 63 1A DF 15 13 02 00 00 +37 01 B0 00 B7 00 E0 00 13 00 00 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 AE 00 00 +93 8E 0E A0 93 01 50 01 63 12 DF 13 13 02 00 00 +37 01 B0 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E 50 93 01 60 01 63 18 DF 0F +13 02 00 00 37 01 B0 00 13 00 00 00 B7 00 D0 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 70 01 63 10 DF 0D +13 02 00 00 37 01 B0 00 13 00 00 00 B7 00 E0 00 +13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 80 01 +63 16 DF 09 13 02 00 00 37 01 B0 00 13 00 00 00 +13 00 00 00 B7 00 F0 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 90 01 63 1C DF 05 B7 00 00 7C 33 21 10 02 +93 0E 00 00 93 01 A0 01 63 12 D1 05 B7 00 00 80 +33 A1 00 02 93 0E 00 00 93 01 B0 01 63 18 D1 03 +B3 20 00 02 93 0E 00 00 93 01 C0 01 63 90 D0 03 +B7 00 10 02 37 01 20 02 33 A0 20 02 93 0E 00 00 +93 01 D0 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32um-p-mulhu b/tests/isa/generated/rv32um-p-mulhu index 9dd9444000391fb40bc92b668a3e68c239d95944..01939eedc6575022bae82f959b0202c0efc2ca1a 100755 GIT binary patch delta 727 zcmYk&JxD@P7zW_)*e#?Vx?n%1CYgb5iIp`(5JgjkMMXg8X6iJ8XOuNdR2<|8@}^C-??zkJ@vp(;BrhIKDgfcwRlkUf?0po z^dXgcUJyx3kp?TsujF;)I(Y}V7nf|8 zl6`~;@+mw|j=;O*1e_qJaK2<|kiiEfau)t3H*fDXp|wiMHP$WiEu10e;Uf7F{v?-h zzBoG2TBW3nHAt?&DRK?Ylbf&gPX2&(4Xss5zOXKn>+l76yMaNL1{(hMhTMGad$Jp@ xkq6)^*$KZGYu2-_rQpWq>`CFt>M<#|5wcs1hD}#_qtEV9C1VENY3$jDe*yh_NzVWP delta 730 zcmYk%F-QVo6bJD4*%b~+7aCm(m*Sv9kSK^PQ3TNtQBct!g#?j<6&lhY=+My6pj<;k zTMi8kg$@l44G9em4Gj%K4UV=sI5hO{B>F$N_x`{49ej7acsE}5tK@y)W5nrpiCB1Q zn%>k5BVxnt(&bQo4|K6}C3S7io7iO2x!t@d58_}pAB(1=N0FTjS|w##&tr+A6DR#r zGND9XwST)2{eKiCUgQKhfV@f$Bkz-^kxS$_@+Emzq$mwqEFyoBSCD(;O=KM(*)JvA z2x0ObJV(yJN%Ap#LN4HbDbwNriF&Tt&)e~Iynfp$R7Be pY{G48%{Um!Eyohsg<8`HIn<=}: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 00000093 li ra,0 - c: 00000113 li sp,0 - 10: 0220bf33 mulhu t5,ra,sp - 14: 00000e93 li t4,0 - 18: 00200193 li gp,2 - 1c: 4bdf1a63 bne t5,t4,4d0 +00000004 : + 4: 00000093 li ra,0 + 8: 00000113 li sp,0 + c: 0220bf33 mulhu t5,ra,sp + 10: 00000e93 li t4,0 + 14: 00200193 li gp,2 + 18: 4bdf1a63 bne t5,t4,4cc -00000020 : - 20: 00100093 li ra,1 - 24: 00100113 li sp,1 - 28: 0220bf33 mulhu t5,ra,sp - 2c: 00000e93 li t4,0 - 30: 00300193 li gp,3 - 34: 49df1e63 bne t5,t4,4d0 +0000001c : + 1c: 00100093 li ra,1 + 20: 00100113 li sp,1 + 24: 0220bf33 mulhu t5,ra,sp + 28: 00000e93 li t4,0 + 2c: 00300193 li gp,3 + 30: 49df1e63 bne t5,t4,4cc -00000038 : - 38: 00300093 li ra,3 - 3c: 00700113 li sp,7 - 40: 0220bf33 mulhu t5,ra,sp - 44: 00000e93 li t4,0 - 48: 00400193 li gp,4 - 4c: 49df1263 bne t5,t4,4d0 +00000034 : + 34: 00300093 li ra,3 + 38: 00700113 li sp,7 + 3c: 0220bf33 mulhu t5,ra,sp + 40: 00000e93 li t4,0 + 44: 00400193 li gp,4 + 48: 49df1263 bne t5,t4,4cc -00000050 : - 50: 00000093 li ra,0 - 54: ffff8137 lui sp,0xffff8 - 58: 0220bf33 mulhu t5,ra,sp - 5c: 00000e93 li t4,0 - 60: 00500193 li gp,5 - 64: 47df1663 bne t5,t4,4d0 +0000004c : + 4c: 00000093 li ra,0 + 50: ffff8137 lui sp,0xffff8 + 54: 0220bf33 mulhu t5,ra,sp + 58: 00000e93 li t4,0 + 5c: 00500193 li gp,5 + 60: 47df1663 bne t5,t4,4cc -00000068 : - 68: 800000b7 lui ra,0x80000 - 6c: 00000113 li sp,0 - 70: 0220bf33 mulhu t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 45df1a63 bne t5,t4,4d0 +00000064 : + 64: 800000b7 lui ra,0x80000 + 68: 00000113 li sp,0 + 6c: 0220bf33 mulhu t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 45df1a63 bne t5,t4,4cc -00000080 : - 80: 800000b7 lui ra,0x80000 - 84: ffff8137 lui sp,0xffff8 - 88: 0220bf33 mulhu t5,ra,sp - 8c: 7fffceb7 lui t4,0x7fffc - 90: 00700193 li gp,7 - 94: 43df1e63 bne t5,t4,4d0 +0000007c : + 7c: 800000b7 lui ra,0x80000 + 80: ffff8137 lui sp,0xffff8 + 84: 0220bf33 mulhu t5,ra,sp + 88: 7fffceb7 lui t4,0x7fffc + 8c: 00700193 li gp,7 + 90: 43df1e63 bne t5,t4,4cc -00000098 : - 98: aaaab0b7 lui ra,0xaaaab - 9c: aab08093 addi ra,ra,-1365 # aaaaaaab - a0: 00030137 lui sp,0x30 - a4: e7d10113 addi sp,sp,-387 # 2fe7d - a8: 0220bf33 mulhu t5,ra,sp - ac: 00020eb7 lui t4,0x20 - b0: efee8e93 addi t4,t4,-258 # 1fefe - b4: 01e00193 li gp,30 - b8: 41df1c63 bne t5,t4,4d0 +00000094 : + 94: aaaab0b7 lui ra,0xaaaab + 98: aab08093 addi ra,ra,-1365 # aaaaaaab + 9c: 00030137 lui sp,0x30 + a0: e7d10113 addi sp,sp,-387 # 2fe7d + a4: 0220bf33 mulhu t5,ra,sp + a8: 00020eb7 lui t4,0x20 + ac: efee8e93 addi t4,t4,-258 # 1fefe + b0: 01e00193 li gp,30 + b4: 41df1c63 bne t5,t4,4cc -000000bc : - bc: 000300b7 lui ra,0x30 - c0: e7d08093 addi ra,ra,-387 # 2fe7d - c4: aaaab137 lui sp,0xaaaab - c8: aab10113 addi sp,sp,-1365 # aaaaaaab - cc: 0220bf33 mulhu t5,ra,sp - d0: 00020eb7 lui t4,0x20 - d4: efee8e93 addi t4,t4,-258 # 1fefe - d8: 01f00193 li gp,31 - dc: 3fdf1a63 bne t5,t4,4d0 +000000b8 : + b8: 000300b7 lui ra,0x30 + bc: e7d08093 addi ra,ra,-387 # 2fe7d + c0: aaaab137 lui sp,0xaaaab + c4: aab10113 addi sp,sp,-1365 # aaaaaaab + c8: 0220bf33 mulhu t5,ra,sp + cc: 00020eb7 lui t4,0x20 + d0: efee8e93 addi t4,t4,-258 # 1fefe + d4: 01f00193 li gp,31 + d8: 3fdf1a63 bne t5,t4,4cc -000000e0 : - e0: ff0000b7 lui ra,0xff000 - e4: ff000137 lui sp,0xff000 - e8: 0220bf33 mulhu t5,ra,sp - ec: fe010eb7 lui t4,0xfe010 - f0: 02000193 li gp,32 - f4: 3ddf1e63 bne t5,t4,4d0 +000000dc : + dc: ff0000b7 lui ra,0xff000 + e0: ff000137 lui sp,0xff000 + e4: 0220bf33 mulhu t5,ra,sp + e8: fe010eb7 lui t4,0xfe010 + ec: 02000193 li gp,32 + f0: 3ddf1e63 bne t5,t4,4cc -000000f8 : - f8: fff00093 li ra,-1 - fc: fff00113 li sp,-1 - 100: 0220bf33 mulhu t5,ra,sp - 104: ffe00e93 li t4,-2 - 108: 02100193 li gp,33 - 10c: 3ddf1263 bne t5,t4,4d0 +000000f4 : + f4: fff00093 li ra,-1 + f8: fff00113 li sp,-1 + fc: 0220bf33 mulhu t5,ra,sp + 100: ffe00e93 li t4,-2 + 104: 02100193 li gp,33 + 108: 3ddf1263 bne t5,t4,4cc -00000110 : - 110: fff00093 li ra,-1 - 114: 00100113 li sp,1 - 118: 0220bf33 mulhu t5,ra,sp - 11c: 00000e93 li t4,0 - 120: 02200193 li gp,34 - 124: 3bdf1663 bne t5,t4,4d0 +0000010c : + 10c: fff00093 li ra,-1 + 110: 00100113 li sp,1 + 114: 0220bf33 mulhu t5,ra,sp + 118: 00000e93 li t4,0 + 11c: 02200193 li gp,34 + 120: 3bdf1663 bne t5,t4,4cc -00000128 : - 128: 00100093 li ra,1 - 12c: fff00113 li sp,-1 - 130: 0220bf33 mulhu t5,ra,sp - 134: 00000e93 li t4,0 - 138: 02300193 li gp,35 - 13c: 39df1a63 bne t5,t4,4d0 +00000124 : + 124: 00100093 li ra,1 + 128: fff00113 li sp,-1 + 12c: 0220bf33 mulhu t5,ra,sp + 130: 00000e93 li t4,0 + 134: 02300193 li gp,35 + 138: 39df1a63 bne t5,t4,4cc -00000140 : - 140: 00d000b7 lui ra,0xd00 - 144: 00b00137 lui sp,0xb00 - 148: 0220b0b3 mulhu ra,ra,sp - 14c: 00009eb7 lui t4,0x9 - 150: f00e8e93 addi t4,t4,-256 # 8f00 - 154: 00800193 li gp,8 - 158: 37d09c63 bne ra,t4,4d0 +0000013c : + 13c: 00d000b7 lui ra,0xd00 + 140: 00b00137 lui sp,0xb00 + 144: 0220b0b3 mulhu ra,ra,sp + 148: 00009eb7 lui t4,0x9 + 14c: f00e8e93 addi t4,t4,-256 # 8f00 + 150: 00800193 li gp,8 + 154: 37d09c63 bne ra,t4,4cc -0000015c : - 15c: 00e000b7 lui ra,0xe00 - 160: 00b00137 lui sp,0xb00 - 164: 0220b133 mulhu sp,ra,sp - 168: 0000aeb7 lui t4,0xa - 16c: a00e8e93 addi t4,t4,-1536 # 9a00 - 170: 00900193 li gp,9 - 174: 35d11e63 bne sp,t4,4d0 +00000158 : + 158: 00e000b7 lui ra,0xe00 + 15c: 00b00137 lui sp,0xb00 + 160: 0220b133 mulhu sp,ra,sp + 164: 0000aeb7 lui t4,0xa + 168: a00e8e93 addi t4,t4,-1536 # 9a00 + 16c: 00900193 li gp,9 + 170: 35d11e63 bne sp,t4,4cc -00000178 : - 178: 00d000b7 lui ra,0xd00 - 17c: 0210b0b3 mulhu ra,ra,ra - 180: 0000beb7 lui t4,0xb - 184: 900e8e93 addi t4,t4,-1792 # a900 - 188: 00a00193 li gp,10 - 18c: 35d09263 bne ra,t4,4d0 +00000174 : + 174: 00d000b7 lui ra,0xd00 + 178: 0210b0b3 mulhu ra,ra,ra + 17c: 0000beb7 lui t4,0xb + 180: 900e8e93 addi t4,t4,-1792 # a900 + 184: 00a00193 li gp,10 + 188: 35d09263 bne ra,t4,4cc -00000190 : - 190: 00000213 li tp,0 - 194: 00d000b7 lui ra,0xd00 - 198: 00b00137 lui sp,0xb00 - 19c: 0220bf33 mulhu t5,ra,sp - 1a0: 000f0313 mv t1,t5 - 1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1a8: 00200293 li t0,2 - 1ac: fe5214e3 bne tp,t0,194 - 1b0: 00009eb7 lui t4,0x9 - 1b4: f00e8e93 addi t4,t4,-256 # 8f00 - 1b8: 00b00193 li gp,11 - 1bc: 31d31a63 bne t1,t4,4d0 +0000018c : + 18c: 00000213 li tp,0 + 190: 00d000b7 lui ra,0xd00 + 194: 00b00137 lui sp,0xb00 + 198: 0220bf33 mulhu t5,ra,sp + 19c: 000f0313 mv t1,t5 + 1a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1a4: 00200293 li t0,2 + 1a8: fe5214e3 bne tp,t0,190 + 1ac: 00009eb7 lui t4,0x9 + 1b0: f00e8e93 addi t4,t4,-256 # 8f00 + 1b4: 00b00193 li gp,11 + 1b8: 31d31a63 bne t1,t4,4cc -000001c0 : - 1c0: 00000213 li tp,0 - 1c4: 00e000b7 lui ra,0xe00 - 1c8: 00b00137 lui sp,0xb00 - 1cc: 0220bf33 mulhu t5,ra,sp - 1d0: 00000013 nop - 1d4: 000f0313 mv t1,t5 - 1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 1dc: 00200293 li t0,2 - 1e0: fe5212e3 bne tp,t0,1c4 - 1e4: 0000aeb7 lui t4,0xa - 1e8: a00e8e93 addi t4,t4,-1536 # 9a00 - 1ec: 00c00193 li gp,12 - 1f0: 2fd31063 bne t1,t4,4d0 +000001bc : + 1bc: 00000213 li tp,0 + 1c0: 00e000b7 lui ra,0xe00 + 1c4: 00b00137 lui sp,0xb00 + 1c8: 0220bf33 mulhu t5,ra,sp + 1cc: 00000013 nop + 1d0: 000f0313 mv t1,t5 + 1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 1d8: 00200293 li t0,2 + 1dc: fe5212e3 bne tp,t0,1c0 + 1e0: 0000aeb7 lui t4,0xa + 1e4: a00e8e93 addi t4,t4,-1536 # 9a00 + 1e8: 00c00193 li gp,12 + 1ec: 2fd31063 bne t1,t4,4cc -000001f4 : - 1f4: 00000213 li tp,0 - 1f8: 00f000b7 lui ra,0xf00 - 1fc: 00b00137 lui sp,0xb00 - 200: 0220bf33 mulhu t5,ra,sp +000001f0 : + 1f0: 00000213 li tp,0 + 1f4: 00f000b7 lui ra,0xf00 + 1f8: 00b00137 lui sp,0xb00 + 1fc: 0220bf33 mulhu t5,ra,sp + 200: 00000013 nop 204: 00000013 nop - 208: 00000013 nop - 20c: 000f0313 mv t1,t5 - 210: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 214: 00200293 li t0,2 - 218: fe5210e3 bne tp,t0,1f8 - 21c: 0000aeb7 lui t4,0xa - 220: 500e8e93 addi t4,t4,1280 # a500 - 224: 00d00193 li gp,13 - 228: 2bd31463 bne t1,t4,4d0 + 208: 000f0313 mv t1,t5 + 20c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 210: 00200293 li t0,2 + 214: fe5210e3 bne tp,t0,1f4 + 218: 0000aeb7 lui t4,0xa + 21c: 500e8e93 addi t4,t4,1280 # a500 + 220: 00d00193 li gp,13 + 224: 2bd31463 bne t1,t4,4cc -0000022c : - 22c: 00000213 li tp,0 - 230: 00d000b7 lui ra,0xd00 - 234: 00b00137 lui sp,0xb00 - 238: 0220bf33 mulhu t5,ra,sp - 23c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 240: 00200293 li t0,2 - 244: fe5216e3 bne tp,t0,230 - 248: 00009eb7 lui t4,0x9 - 24c: f00e8e93 addi t4,t4,-256 # 8f00 - 250: 00e00193 li gp,14 - 254: 27df1e63 bne t5,t4,4d0 +00000228 : + 228: 00000213 li tp,0 + 22c: 00d000b7 lui ra,0xd00 + 230: 00b00137 lui sp,0xb00 + 234: 0220bf33 mulhu t5,ra,sp + 238: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 23c: 00200293 li t0,2 + 240: fe5216e3 bne tp,t0,22c + 244: 00009eb7 lui t4,0x9 + 248: f00e8e93 addi t4,t4,-256 # 8f00 + 24c: 00e00193 li gp,14 + 250: 27df1e63 bne t5,t4,4cc -00000258 : - 258: 00000213 li tp,0 - 25c: 00e000b7 lui ra,0xe00 - 260: 00b00137 lui sp,0xb00 - 264: 00000013 nop - 268: 0220bf33 mulhu t5,ra,sp - 26c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 270: 00200293 li t0,2 - 274: fe5214e3 bne tp,t0,25c - 278: 0000aeb7 lui t4,0xa - 27c: a00e8e93 addi t4,t4,-1536 # 9a00 - 280: 00f00193 li gp,15 - 284: 25df1663 bne t5,t4,4d0 +00000254 : + 254: 00000213 li tp,0 + 258: 00e000b7 lui ra,0xe00 + 25c: 00b00137 lui sp,0xb00 + 260: 00000013 nop + 264: 0220bf33 mulhu t5,ra,sp + 268: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 26c: 00200293 li t0,2 + 270: fe5214e3 bne tp,t0,258 + 274: 0000aeb7 lui t4,0xa + 278: a00e8e93 addi t4,t4,-1536 # 9a00 + 27c: 00f00193 li gp,15 + 280: 25df1663 bne t5,t4,4cc -00000288 : - 288: 00000213 li tp,0 - 28c: 00f000b7 lui ra,0xf00 - 290: 00b00137 lui sp,0xb00 +00000284 : + 284: 00000213 li tp,0 + 288: 00f000b7 lui ra,0xf00 + 28c: 00b00137 lui sp,0xb00 + 290: 00000013 nop 294: 00000013 nop - 298: 00000013 nop - 29c: 0220bf33 mulhu t5,ra,sp - 2a0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2a4: 00200293 li t0,2 - 2a8: fe5212e3 bne tp,t0,28c - 2ac: 0000aeb7 lui t4,0xa - 2b0: 500e8e93 addi t4,t4,1280 # a500 - 2b4: 01000193 li gp,16 - 2b8: 21df1c63 bne t5,t4,4d0 + 298: 0220bf33 mulhu t5,ra,sp + 29c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2a0: 00200293 li t0,2 + 2a4: fe5212e3 bne tp,t0,288 + 2a8: 0000aeb7 lui t4,0xa + 2ac: 500e8e93 addi t4,t4,1280 # a500 + 2b0: 01000193 li gp,16 + 2b4: 21df1c63 bne t5,t4,4cc -000002bc : - 2bc: 00000213 li tp,0 - 2c0: 00d000b7 lui ra,0xd00 - 2c4: 00000013 nop - 2c8: 00b00137 lui sp,0xb00 - 2cc: 0220bf33 mulhu t5,ra,sp - 2d0: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 2d4: 00200293 li t0,2 - 2d8: fe5214e3 bne tp,t0,2c0 - 2dc: 00009eb7 lui t4,0x9 - 2e0: f00e8e93 addi t4,t4,-256 # 8f00 - 2e4: 01100193 li gp,17 - 2e8: 1fdf1463 bne t5,t4,4d0 +000002b8 : + 2b8: 00000213 li tp,0 + 2bc: 00d000b7 lui ra,0xd00 + 2c0: 00000013 nop + 2c4: 00b00137 lui sp,0xb00 + 2c8: 0220bf33 mulhu t5,ra,sp + 2cc: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 2d0: 00200293 li t0,2 + 2d4: fe5214e3 bne tp,t0,2bc + 2d8: 00009eb7 lui t4,0x9 + 2dc: f00e8e93 addi t4,t4,-256 # 8f00 + 2e0: 01100193 li gp,17 + 2e4: 1fdf1463 bne t5,t4,4cc -000002ec : - 2ec: 00000213 li tp,0 - 2f0: 00e000b7 lui ra,0xe00 - 2f4: 00000013 nop - 2f8: 00b00137 lui sp,0xb00 - 2fc: 00000013 nop - 300: 0220bf33 mulhu t5,ra,sp - 304: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 308: 00200293 li t0,2 - 30c: fe5212e3 bne tp,t0,2f0 - 310: 0000aeb7 lui t4,0xa - 314: a00e8e93 addi t4,t4,-1536 # 9a00 - 318: 01200193 li gp,18 - 31c: 1bdf1a63 bne t5,t4,4d0 +000002e8 : + 2e8: 00000213 li tp,0 + 2ec: 00e000b7 lui ra,0xe00 + 2f0: 00000013 nop + 2f4: 00b00137 lui sp,0xb00 + 2f8: 00000013 nop + 2fc: 0220bf33 mulhu t5,ra,sp + 300: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 304: 00200293 li t0,2 + 308: fe5212e3 bne tp,t0,2ec + 30c: 0000aeb7 lui t4,0xa + 310: a00e8e93 addi t4,t4,-1536 # 9a00 + 314: 01200193 li gp,18 + 318: 1bdf1a63 bne t5,t4,4cc -00000320 : - 320: 00000213 li tp,0 - 324: 00f000b7 lui ra,0xf00 +0000031c : + 31c: 00000213 li tp,0 + 320: 00f000b7 lui ra,0xf00 + 324: 00000013 nop 328: 00000013 nop - 32c: 00000013 nop - 330: 00b00137 lui sp,0xb00 - 334: 0220bf33 mulhu t5,ra,sp - 338: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 33c: 00200293 li t0,2 - 340: fe5212e3 bne tp,t0,324 - 344: 0000aeb7 lui t4,0xa - 348: 500e8e93 addi t4,t4,1280 # a500 - 34c: 01300193 li gp,19 - 350: 19df1063 bne t5,t4,4d0 + 32c: 00b00137 lui sp,0xb00 + 330: 0220bf33 mulhu t5,ra,sp + 334: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 338: 00200293 li t0,2 + 33c: fe5212e3 bne tp,t0,320 + 340: 0000aeb7 lui t4,0xa + 344: 500e8e93 addi t4,t4,1280 # a500 + 348: 01300193 li gp,19 + 34c: 19df1063 bne t5,t4,4cc -00000354 : - 354: 00000213 li tp,0 - 358: 00b00137 lui sp,0xb00 - 35c: 00d000b7 lui ra,0xd00 - 360: 0220bf33 mulhu t5,ra,sp - 364: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 368: 00200293 li t0,2 - 36c: fe5216e3 bne tp,t0,358 - 370: 00009eb7 lui t4,0x9 - 374: f00e8e93 addi t4,t4,-256 # 8f00 - 378: 01400193 li gp,20 - 37c: 15df1a63 bne t5,t4,4d0 +00000350 : + 350: 00000213 li tp,0 + 354: 00b00137 lui sp,0xb00 + 358: 00d000b7 lui ra,0xd00 + 35c: 0220bf33 mulhu t5,ra,sp + 360: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 364: 00200293 li t0,2 + 368: fe5216e3 bne tp,t0,354 + 36c: 00009eb7 lui t4,0x9 + 370: f00e8e93 addi t4,t4,-256 # 8f00 + 374: 01400193 li gp,20 + 378: 15df1a63 bne t5,t4,4cc -00000380 : - 380: 00000213 li tp,0 - 384: 00b00137 lui sp,0xb00 - 388: 00e000b7 lui ra,0xe00 - 38c: 00000013 nop - 390: 0220bf33 mulhu t5,ra,sp - 394: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 398: 00200293 li t0,2 - 39c: fe5214e3 bne tp,t0,384 - 3a0: 0000aeb7 lui t4,0xa - 3a4: a00e8e93 addi t4,t4,-1536 # 9a00 - 3a8: 01500193 li gp,21 - 3ac: 13df1263 bne t5,t4,4d0 +0000037c : + 37c: 00000213 li tp,0 + 380: 00b00137 lui sp,0xb00 + 384: 00e000b7 lui ra,0xe00 + 388: 00000013 nop + 38c: 0220bf33 mulhu t5,ra,sp + 390: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 394: 00200293 li t0,2 + 398: fe5214e3 bne tp,t0,380 + 39c: 0000aeb7 lui t4,0xa + 3a0: a00e8e93 addi t4,t4,-1536 # 9a00 + 3a4: 01500193 li gp,21 + 3a8: 13df1263 bne t5,t4,4cc -000003b0 : - 3b0: 00000213 li tp,0 - 3b4: 00b00137 lui sp,0xb00 - 3b8: 00f000b7 lui ra,0xf00 +000003ac : + 3ac: 00000213 li tp,0 + 3b0: 00b00137 lui sp,0xb00 + 3b4: 00f000b7 lui ra,0xf00 + 3b8: 00000013 nop 3bc: 00000013 nop - 3c0: 00000013 nop - 3c4: 0220bf33 mulhu t5,ra,sp - 3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3cc: 00200293 li t0,2 - 3d0: fe5212e3 bne tp,t0,3b4 - 3d4: 0000aeb7 lui t4,0xa - 3d8: 500e8e93 addi t4,t4,1280 # a500 - 3dc: 01600193 li gp,22 - 3e0: 0fdf1863 bne t5,t4,4d0 + 3c0: 0220bf33 mulhu t5,ra,sp + 3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3c8: 00200293 li t0,2 + 3cc: fe5212e3 bne tp,t0,3b0 + 3d0: 0000aeb7 lui t4,0xa + 3d4: 500e8e93 addi t4,t4,1280 # a500 + 3d8: 01600193 li gp,22 + 3dc: 0fdf1863 bne t5,t4,4cc -000003e4 : - 3e4: 00000213 li tp,0 - 3e8: 00b00137 lui sp,0xb00 - 3ec: 00000013 nop - 3f0: 00d000b7 lui ra,0xd00 - 3f4: 0220bf33 mulhu t5,ra,sp - 3f8: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 3fc: 00200293 li t0,2 - 400: fe5214e3 bne tp,t0,3e8 - 404: 00009eb7 lui t4,0x9 - 408: f00e8e93 addi t4,t4,-256 # 8f00 - 40c: 01700193 li gp,23 - 410: 0ddf1063 bne t5,t4,4d0 +000003e0 : + 3e0: 00000213 li tp,0 + 3e4: 00b00137 lui sp,0xb00 + 3e8: 00000013 nop + 3ec: 00d000b7 lui ra,0xd00 + 3f0: 0220bf33 mulhu t5,ra,sp + 3f4: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 3f8: 00200293 li t0,2 + 3fc: fe5214e3 bne tp,t0,3e4 + 400: 00009eb7 lui t4,0x9 + 404: f00e8e93 addi t4,t4,-256 # 8f00 + 408: 01700193 li gp,23 + 40c: 0ddf1063 bne t5,t4,4cc -00000414 : - 414: 00000213 li tp,0 - 418: 00b00137 lui sp,0xb00 - 41c: 00000013 nop - 420: 00e000b7 lui ra,0xe00 - 424: 00000013 nop - 428: 0220bf33 mulhu t5,ra,sp - 42c: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 430: 00200293 li t0,2 - 434: fe5212e3 bne tp,t0,418 - 438: 0000aeb7 lui t4,0xa - 43c: a00e8e93 addi t4,t4,-1536 # 9a00 - 440: 01800193 li gp,24 - 444: 09df1663 bne t5,t4,4d0 +00000410 : + 410: 00000213 li tp,0 + 414: 00b00137 lui sp,0xb00 + 418: 00000013 nop + 41c: 00e000b7 lui ra,0xe00 + 420: 00000013 nop + 424: 0220bf33 mulhu t5,ra,sp + 428: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 42c: 00200293 li t0,2 + 430: fe5212e3 bne tp,t0,414 + 434: 0000aeb7 lui t4,0xa + 438: a00e8e93 addi t4,t4,-1536 # 9a00 + 43c: 01800193 li gp,24 + 440: 09df1663 bne t5,t4,4cc -00000448 : - 448: 00000213 li tp,0 - 44c: 00b00137 lui sp,0xb00 +00000444 : + 444: 00000213 li tp,0 + 448: 00b00137 lui sp,0xb00 + 44c: 00000013 nop 450: 00000013 nop - 454: 00000013 nop - 458: 00f000b7 lui ra,0xf00 - 45c: 0220bf33 mulhu t5,ra,sp - 460: 00120213 addi tp,tp,1 # 1 <_start+0x1> - 464: 00200293 li t0,2 - 468: fe5212e3 bne tp,t0,44c - 46c: 0000aeb7 lui t4,0xa - 470: 500e8e93 addi t4,t4,1280 # a500 - 474: 01900193 li gp,25 - 478: 05df1c63 bne t5,t4,4d0 + 454: 00f000b7 lui ra,0xf00 + 458: 0220bf33 mulhu t5,ra,sp + 45c: 00120213 addi tp,tp,1 # 1 <_start+0x1> + 460: 00200293 li t0,2 + 464: fe5212e3 bne tp,t0,448 + 468: 0000aeb7 lui t4,0xa + 46c: 500e8e93 addi t4,t4,1280 # a500 + 470: 01900193 li gp,25 + 474: 05df1c63 bne t5,t4,4cc -0000047c : - 47c: 7c0000b7 lui ra,0x7c000 - 480: 02103133 mulhu sp,zero,ra - 484: 00000e93 li t4,0 - 488: 01a00193 li gp,26 - 48c: 05d11263 bne sp,t4,4d0 +00000478 : + 478: 7c0000b7 lui ra,0x7c000 + 47c: 02103133 mulhu sp,zero,ra + 480: 00000e93 li t4,0 + 484: 01a00193 li gp,26 + 488: 05d11263 bne sp,t4,4cc -00000490 : - 490: 800000b7 lui ra,0x80000 - 494: 0200b133 mulhu sp,ra,zero - 498: 00000e93 li t4,0 - 49c: 01b00193 li gp,27 - 4a0: 03d11863 bne sp,t4,4d0 +0000048c : + 48c: 800000b7 lui ra,0x80000 + 490: 0200b133 mulhu sp,ra,zero + 494: 00000e93 li t4,0 + 498: 01b00193 li gp,27 + 49c: 03d11863 bne sp,t4,4cc -000004a4 : - 4a4: 020030b3 mulhu ra,zero,zero - 4a8: 00000e93 li t4,0 - 4ac: 01c00193 li gp,28 - 4b0: 03d09063 bne ra,t4,4d0 +000004a0 : + 4a0: 020030b3 mulhu ra,zero,zero + 4a4: 00000e93 li t4,0 + 4a8: 01c00193 li gp,28 + 4ac: 03d09063 bne ra,t4,4cc -000004b4 : - 4b4: 021000b7 lui ra,0x2100 - 4b8: 02200137 lui sp,0x2200 - 4bc: 0220b033 mulhu zero,ra,sp - 4c0: 00000e93 li t4,0 - 4c4: 01d00193 li gp,29 - 4c8: 01d01463 bne zero,t4,4d0 - 4cc: 00301863 bne zero,gp,4dc +000004b0 : + 4b0: 021000b7 lui ra,0x2100 + 4b4: 02200137 lui sp,0x2200 + 4b8: 0220b033 mulhu zero,ra,sp + 4bc: 00000e93 li t4,0 + 4c0: 01d00193 li gp,29 + 4c4: 01d01463 bne zero,t4,4cc + 4c8: 00301663 bne zero,gp,4d4 -000004d0 : - 4d0: 00000d93 li s11,0 - 4d4: 00100d13 li s10,1 +000004cc : + 4cc: 1000d073 csrwi sstatus,1 -000004d8 : - 4d8: 0000006f j 4d8 +000004d0 : + 4d0: 0000006f j 4d0 -000004dc : - 4dc: 00100d93 li s11,1 - 4e0: 00100d13 li s10,1 +000004d4 : + 4d4: 1001d073 csrwi sstatus,3 -000004e4 : - 4e4: 0000006f j 4e4 +000004d8 : + 4d8: 0000006f j 4d8 ... Disassembly of section .tohost: @@ -408,12 +405,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -422,4 +419,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-mulhu.mem b/tests/isa/generated/rv32um-p-mulhu.mem index a1c0f30..896f08d 100644 --- a/tests/isa/generated/rv32um-p-mulhu.mem +++ b/tests/isa/generated/rv32um-p-mulhu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 00000093 00000113 0220bf33 @@ -305,12 +304,10 @@ fe5212e3 00000e93 01d00193 01d01463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -352,3 +349,6 @@ fe5212e3 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulhu.verilog b/tests/isa/generated/rv32um-p-mulhu.verilog index 47b4e4b..8b56d8c 100755 --- a/tests/isa/generated/rv32um-p-mulhu.verilog +++ b/tests/isa/generated/rv32um-p-mulhu.verilog @@ -1,83 +1,83 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 BF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 BF 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 BF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 BF 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 BF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 37 81 FF FF 33 BF 20 02 B7 CE FF 7F -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 BF 20 02 B7 0E 02 00 -93 8E EE EF 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 BF 20 02 -B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 BF 20 02 B7 0E 01 FE -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 BF 20 02 93 0E E0 FF 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 BF 20 02 93 0E 00 00 -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 BF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 B0 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 B1 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 B0 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 BF 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 BF 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 BF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 BF 20 02 +73 50 00 10 93 00 00 00 13 01 00 00 33 BF 20 02 +93 0E 00 00 93 01 20 00 63 1A DF 4B 93 00 10 00 +13 01 10 00 33 BF 20 02 93 0E 00 00 93 01 30 00 +63 1E DF 49 93 00 30 00 13 01 70 00 33 BF 20 02 +93 0E 00 00 93 01 40 00 63 12 DF 49 93 00 00 00 +37 81 FF FF 33 BF 20 02 93 0E 00 00 93 01 50 00 +63 16 DF 47 B7 00 00 80 13 01 00 00 33 BF 20 02 +93 0E 00 00 93 01 60 00 63 1A DF 45 B7 00 00 80 +37 81 FF FF 33 BF 20 02 B7 CE FF 7F 93 01 70 00 +63 1E DF 43 B7 B0 AA AA 93 80 B0 AA 37 01 03 00 +13 01 D1 E7 33 BF 20 02 B7 0E 02 00 93 8E EE EF +93 01 E0 01 63 1C DF 41 B7 00 03 00 93 80 D0 E7 +37 B1 AA AA 13 01 B1 AA 33 BF 20 02 B7 0E 02 00 +93 8E EE EF 93 01 F0 01 63 1A DF 3F B7 00 00 FF +37 01 00 FF 33 BF 20 02 B7 0E 01 FE 93 01 00 02 +63 1E DF 3D 93 00 F0 FF 13 01 F0 FF 33 BF 20 02 +93 0E E0 FF 93 01 10 02 63 12 DF 3D 93 00 F0 FF +13 01 10 00 33 BF 20 02 93 0E 00 00 93 01 20 02 +63 16 DF 3B 93 00 10 00 13 01 F0 FF 33 BF 20 02 +93 0E 00 00 93 01 30 02 63 1A DF 39 B7 00 D0 00 +37 01 B0 00 B3 B0 20 02 B7 9E 00 00 93 8E 0E F0 +93 01 80 00 63 9C D0 37 B7 00 E0 00 37 01 B0 00 +33 B1 20 02 B7 AE 00 00 93 8E 0E A0 93 01 90 00 +63 1E D1 35 B7 00 D0 00 B3 B0 10 02 B7 BE 00 00 +93 8E 0E 90 93 01 A0 00 63 92 D0 35 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +93 8E 0E F0 93 01 B0 00 63 1A D3 31 13 02 00 00 +B7 00 E0 00 37 01 B0 00 33 BF 20 02 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 C0 00 63 10 D3 2F +13 02 00 00 B7 00 F0 00 37 01 B0 00 33 BF 20 02 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 D0 00 63 14 D3 2B 13 02 00 00 B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +E3 16 52 FE B7 9E 00 00 93 8E 0E F0 93 01 E0 00 +63 1E DF 27 13 02 00 00 B7 00 E0 00 37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 BF 20 02 +E3 14 52 FE B7 AE 00 00 93 8E 0E A0 93 01 F0 00 +63 16 DF 25 13 02 00 00 B7 00 F0 00 37 01 B0 00 +13 00 00 00 13 00 00 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 00 01 63 1C DF 21 13 02 00 00 B7 00 D0 00 +13 00 00 00 37 01 B0 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 10 01 63 14 DF 1F 13 02 00 00 B7 00 E0 00 +13 00 00 00 37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 31 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 B1 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 30 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 B0 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 -13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +93 8E 0E A0 93 01 20 01 63 1A DF 1B 13 02 00 00 +B7 00 F0 00 13 00 00 00 13 00 00 00 37 01 B0 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E 50 93 01 30 01 63 10 DF 19 +13 02 00 00 37 01 B0 00 B7 00 D0 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 40 01 63 1A DF 15 13 02 00 00 +37 01 B0 00 B7 00 E0 00 13 00 00 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 AE 00 00 +93 8E 0E A0 93 01 50 01 63 12 DF 13 13 02 00 00 +37 01 B0 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E 50 93 01 60 01 63 18 DF 0F +13 02 00 00 37 01 B0 00 13 00 00 00 B7 00 D0 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 70 01 63 10 DF 0D +13 02 00 00 37 01 B0 00 13 00 00 00 B7 00 E0 00 +13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 80 01 +63 16 DF 09 13 02 00 00 37 01 B0 00 13 00 00 00 +13 00 00 00 B7 00 F0 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E 50 +93 01 90 01 63 1C DF 05 B7 00 00 7C 33 31 10 02 +93 0E 00 00 93 01 A0 01 63 12 D1 05 B7 00 00 80 +33 B1 00 02 93 0E 00 00 93 01 B0 01 63 18 D1 03 +B3 30 00 02 93 0E 00 00 93 01 C0 01 63 90 D0 03 +B7 00 10 02 37 01 20 02 33 B0 20 02 93 0E 00 00 +93 01 D0 01 63 14 D0 01 63 16 30 00 73 D0 00 10 +6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000540 diff --git a/tests/isa/generated/rv32um-p-rem b/tests/isa/generated/rv32um-p-rem index 22e6adb5e1961b58494bce0453b5495bb5183783..02a57e475765bdedf4b845ed6222759571fd7859 100755 GIT binary patch delta 323 zcmbQEwLoiv0wc#p#rym$#Q_WglQ{%#3Wymn6klKv$Y)>x(u@N66BB1EJ1PNrMVZCP zWekiGKqhNZnXyr(QGr2xE|6g0VC3SSm?%5>h@eG13s4#Y7#RYAj0aF20|O(2Fpw>S z#FqlHO_2DCK(-GOUjxWaLE`HJ*)>RfGa!2k65p1A!HHoFl7I`4eFTXg4P?JS;)5)E zg2WF4vfoVJE0o7pZkAhBSg_epIG&MFdGbUNWyUp=w~DAU>P)^XqRx5@=o1H^s4xID C!!57? delta 345 zcmZ3WHAicL0wc>t#rymm!n_O&lR=n8;HHFx0hle!E5MM?01_8q0JA41_RBfS0Y!>3 zi<8S37)5|g)}k_FqfDa$gZNw^F)>kY@(DqUdJdor1TZoL0vS(WTn0u4VIW%pi7y3Y zTOjcjf$RVzz6Ow;fyCDbvKx^2WCBBmoy7`vej{8p!^D#P_Ql%G6RM455LKAYlOpFni+1egLQ*2uuI~ diff --git a/tests/isa/generated/rv32um-p-rem.dump b/tests/isa/generated/rv32um-p-rem.dump index fb6fa0e..05376b9 100644 --- a/tests/isa/generated/rv32um-p-rem.dump +++ b/tests/isa/generated/rv32um-p-rem.dump @@ -5,95 +5,92 @@ generated/rv32um-p-rem: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 01400093 li ra,20 - c: 00600113 li sp,6 - 10: 0220ef33 rem t5,ra,sp - 14: 00200e93 li t4,2 - 18: 00200193 li gp,2 - 1c: 0ddf1463 bne t5,t4,e4 +00000004 : + 4: 01400093 li ra,20 + 8: 00600113 li sp,6 + c: 0220ef33 rem t5,ra,sp + 10: 00200e93 li t4,2 + 14: 00200193 li gp,2 + 18: 0ddf1463 bne t5,t4,e0 -00000020 : - 20: fec00093 li ra,-20 - 24: 00600113 li sp,6 - 28: 0220ef33 rem t5,ra,sp - 2c: ffe00e93 li t4,-2 - 30: 00300193 li gp,3 - 34: 0bdf1863 bne t5,t4,e4 +0000001c : + 1c: fec00093 li ra,-20 + 20: 00600113 li sp,6 + 24: 0220ef33 rem t5,ra,sp + 28: ffe00e93 li t4,-2 + 2c: 00300193 li gp,3 + 30: 0bdf1863 bne t5,t4,e0 -00000038 : - 38: 01400093 li ra,20 - 3c: ffa00113 li sp,-6 - 40: 0220ef33 rem t5,ra,sp - 44: 00200e93 li t4,2 - 48: 00400193 li gp,4 - 4c: 09df1c63 bne t5,t4,e4 +00000034 : + 34: 01400093 li ra,20 + 38: ffa00113 li sp,-6 + 3c: 0220ef33 rem t5,ra,sp + 40: 00200e93 li t4,2 + 44: 00400193 li gp,4 + 48: 09df1c63 bne t5,t4,e0 -00000050 : - 50: fec00093 li ra,-20 - 54: ffa00113 li sp,-6 - 58: 0220ef33 rem t5,ra,sp - 5c: ffe00e93 li t4,-2 - 60: 00500193 li gp,5 - 64: 09df1063 bne t5,t4,e4 +0000004c : + 4c: fec00093 li ra,-20 + 50: ffa00113 li sp,-6 + 54: 0220ef33 rem t5,ra,sp + 58: ffe00e93 li t4,-2 + 5c: 00500193 li gp,5 + 60: 09df1063 bne t5,t4,e0 -00000068 : - 68: 00000093 li ra,0 - 6c: 00100113 li sp,1 - 70: 0220ef33 rem t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 07df1463 bne t5,t4,e4 +00000064 : + 64: 00000093 li ra,0 + 68: 00100113 li sp,1 + 6c: 0220ef33 rem t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 07df1463 bne t5,t4,e0 -00000080 : - 80: 00000093 li ra,0 - 84: fff00113 li sp,-1 - 88: 0220ef33 rem t5,ra,sp - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 05df1863 bne t5,t4,e4 +0000007c : + 7c: 00000093 li ra,0 + 80: fff00113 li sp,-1 + 84: 0220ef33 rem t5,ra,sp + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 05df1863 bne t5,t4,e0 -00000098 : - 98: 00000093 li ra,0 - 9c: 00000113 li sp,0 - a0: 0220ef33 rem t5,ra,sp - a4: 00000e93 li t4,0 - a8: 00800193 li gp,8 - ac: 03df1c63 bne t5,t4,e4 +00000094 : + 94: 00000093 li ra,0 + 98: 00000113 li sp,0 + 9c: 0220ef33 rem t5,ra,sp + a0: 00000e93 li t4,0 + a4: 00800193 li gp,8 + a8: 03df1c63 bne t5,t4,e0 -000000b0 : - b0: 00100093 li ra,1 - b4: 00000113 li sp,0 - b8: 0220ef33 rem t5,ra,sp - bc: 00100e93 li t4,1 - c0: 00900193 li gp,9 - c4: 03df1063 bne t5,t4,e4 +000000ac : + ac: 00100093 li ra,1 + b0: 00000113 li sp,0 + b4: 0220ef33 rem t5,ra,sp + b8: 00100e93 li t4,1 + bc: 00900193 li gp,9 + c0: 03df1063 bne t5,t4,e0 -000000c8 : - c8: 00000093 li ra,0 - cc: 00000113 li sp,0 - d0: 0220ef33 rem t5,ra,sp - d4: 00000e93 li t4,0 - d8: 00a00193 li gp,10 - dc: 01df1463 bne t5,t4,e4 - e0: 00301863 bne zero,gp,f0 +000000c4 : + c4: 00000093 li ra,0 + c8: 00000113 li sp,0 + cc: 0220ef33 rem t5,ra,sp + d0: 00000e93 li t4,0 + d4: 00a00193 li gp,10 + d8: 01df1463 bne t5,t4,e0 + dc: 00301663 bne zero,gp,e8 -000000e4 : - e4: 00000d93 li s11,0 - e8: 00100d13 li s10,1 +000000e0 : + e0: 1000d073 csrwi sstatus,1 -000000ec : - ec: 0000006f j ec +000000e4 : + e4: 0000006f j e4 -000000f0 : - f0: 00100d93 li s11,1 - f4: 00100d13 li s10,1 +000000e8 : + e8: 1001d073 csrwi sstatus,3 -000000f8 : - f8: 0000006f j f8 +000000ec : + ec: 0000006f j ec ... Disassembly of section .tohost: @@ -107,12 +104,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -121,4 +118,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-rem.mem b/tests/isa/generated/rv32um-p-rem.mem index 6fd43b2..0a1f361 100644 --- a/tests/isa/generated/rv32um-p-rem.mem +++ b/tests/isa/generated/rv32um-p-rem.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 01400093 00600113 0220ef33 @@ -54,12 +53,10 @@ fff00113 00000e93 00a00193 01df1463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -96,3 +93,6 @@ fff00113 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-rem.verilog b/tests/isa/generated/rv32um-p-rem.verilog index 4b3fba4..6e47c80 100755 --- a/tests/isa/generated/rv32um-p-rem.verilog +++ b/tests/isa/generated/rv32um-p-rem.verilog @@ -1,20 +1,20 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 EF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 EF 20 02 93 0E E0 FF -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 EF 20 02 93 0E 20 00 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 EF 20 02 93 0E E0 FF -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 EF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 EF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 EF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 EF 20 02 93 0E 10 00 -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 EF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 93 00 40 01 13 01 60 00 33 EF 20 02 +93 0E 20 00 93 01 20 00 63 14 DF 0D 93 00 C0 FE +13 01 60 00 33 EF 20 02 93 0E E0 FF 93 01 30 00 +63 18 DF 0B 93 00 40 01 13 01 A0 FF 33 EF 20 02 +93 0E 20 00 93 01 40 00 63 1C DF 09 93 00 C0 FE +13 01 A0 FF 33 EF 20 02 93 0E E0 FF 93 01 50 00 +63 10 DF 09 93 00 00 00 13 01 10 00 33 EF 20 02 +93 0E 00 00 93 01 60 00 63 14 DF 07 93 00 00 00 +13 01 F0 FF 33 EF 20 02 93 0E 00 00 93 01 70 00 +63 18 DF 05 93 00 00 00 13 01 00 00 33 EF 20 02 +93 0E 00 00 93 01 80 00 63 1C DF 03 93 00 10 00 +13 01 00 00 33 EF 20 02 93 0E 10 00 93 01 90 00 +63 10 DF 03 93 00 00 00 13 01 00 00 33 EF 20 02 +93 0E 00 00 93 01 A0 00 63 14 DF 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-remu b/tests/isa/generated/rv32um-p-remu index 37c00a90ecbedcddb4f05f8b3a2b5a412dab94b2..e1b74e13ecdd6ee06eb8dbf89ac6e6e0a97f2b3f 100755 GIT binary patch delta 323 zcmbQEwLoiv0wc#p#rym$#Q_WglQ{%#3Wymn6klKv$Y)>x(u@N66BB1EJ1PNrMVZCP zWekiGKqhNZnXyr(QGr2xE|6g0VC3SSm?%5>h@eG13s4#Y7#RYAj0aF20|O(2Fpw>S z#FqlHO_2DCK(-GOUjxWaLE`HJ*)>RfGa!2k65p1A!HHoFl7I`4eFTXg4P?JS;)5)E zg2WF4vfoVJE0o7(5$bB_Rk+zuIG&MFdGbUNWyUp=w~DAU>P)^XqRx5@=o1H^s4xH; Cg)I31 delta 345 zcmZ3WHAicL0wc>t#rymm!n_O&lR=n8;HHFx0hle!E5MM?01_8q0JA41_RBfS0Y!>3 zi<8S37)5|g)}k_FqfDa$gZNw^F)>kY@(DqUdJdor1TZoL0vS(WTn0u4VIW%pi7y3Y zTOjcjf$RVzz6Ow;fyCDbvKx^2WCBBmoy7`vej{8p!^D#P52L^056^>_Ql%G6RM455LKAYlOpFni+1egLQ*2uuI~ diff --git a/tests/isa/generated/rv32um-p-remu.dump b/tests/isa/generated/rv32um-p-remu.dump index 9861a8b..6af3180 100644 --- a/tests/isa/generated/rv32um-p-remu.dump +++ b/tests/isa/generated/rv32um-p-remu.dump @@ -5,95 +5,92 @@ generated/rv32um-p-remu: file format elf32-littleriscv Disassembly of section .text.init: 00000000 <_start>: - 0: 00000d13 li s10,0 - 4: 00000d93 li s11,0 + 0: 10005073 csrwi sstatus,0 -00000008 : - 8: 01400093 li ra,20 - c: 00600113 li sp,6 - 10: 0220ff33 remu t5,ra,sp - 14: 00200e93 li t4,2 - 18: 00200193 li gp,2 - 1c: 0ddf1463 bne t5,t4,e4 +00000004 : + 4: 01400093 li ra,20 + 8: 00600113 li sp,6 + c: 0220ff33 remu t5,ra,sp + 10: 00200e93 li t4,2 + 14: 00200193 li gp,2 + 18: 0ddf1463 bne t5,t4,e0 -00000020 : - 20: fec00093 li ra,-20 - 24: 00600113 li sp,6 - 28: 0220ff33 remu t5,ra,sp - 2c: 00200e93 li t4,2 - 30: 00300193 li gp,3 - 34: 0bdf1863 bne t5,t4,e4 +0000001c : + 1c: fec00093 li ra,-20 + 20: 00600113 li sp,6 + 24: 0220ff33 remu t5,ra,sp + 28: 00200e93 li t4,2 + 2c: 00300193 li gp,3 + 30: 0bdf1863 bne t5,t4,e0 -00000038 : - 38: 01400093 li ra,20 - 3c: ffa00113 li sp,-6 - 40: 0220ff33 remu t5,ra,sp - 44: 01400e93 li t4,20 - 48: 00400193 li gp,4 - 4c: 09df1c63 bne t5,t4,e4 +00000034 : + 34: 01400093 li ra,20 + 38: ffa00113 li sp,-6 + 3c: 0220ff33 remu t5,ra,sp + 40: 01400e93 li t4,20 + 44: 00400193 li gp,4 + 48: 09df1c63 bne t5,t4,e0 -00000050 : - 50: fec00093 li ra,-20 - 54: ffa00113 li sp,-6 - 58: 0220ff33 remu t5,ra,sp - 5c: fec00e93 li t4,-20 - 60: 00500193 li gp,5 - 64: 09df1063 bne t5,t4,e4 +0000004c : + 4c: fec00093 li ra,-20 + 50: ffa00113 li sp,-6 + 54: 0220ff33 remu t5,ra,sp + 58: fec00e93 li t4,-20 + 5c: 00500193 li gp,5 + 60: 09df1063 bne t5,t4,e0 -00000068 : - 68: 00000093 li ra,0 - 6c: 00100113 li sp,1 - 70: 0220ff33 remu t5,ra,sp - 74: 00000e93 li t4,0 - 78: 00600193 li gp,6 - 7c: 07df1463 bne t5,t4,e4 +00000064 : + 64: 00000093 li ra,0 + 68: 00100113 li sp,1 + 6c: 0220ff33 remu t5,ra,sp + 70: 00000e93 li t4,0 + 74: 00600193 li gp,6 + 78: 07df1463 bne t5,t4,e0 -00000080 : - 80: 00000093 li ra,0 - 84: fff00113 li sp,-1 - 88: 0220ff33 remu t5,ra,sp - 8c: 00000e93 li t4,0 - 90: 00700193 li gp,7 - 94: 05df1863 bne t5,t4,e4 +0000007c : + 7c: 00000093 li ra,0 + 80: fff00113 li sp,-1 + 84: 0220ff33 remu t5,ra,sp + 88: 00000e93 li t4,0 + 8c: 00700193 li gp,7 + 90: 05df1863 bne t5,t4,e0 -00000098 : - 98: 00000093 li ra,0 - 9c: 00000113 li sp,0 - a0: 0220ff33 remu t5,ra,sp - a4: 00000e93 li t4,0 - a8: 00800193 li gp,8 - ac: 03df1c63 bne t5,t4,e4 +00000094 : + 94: 00000093 li ra,0 + 98: 00000113 li sp,0 + 9c: 0220ff33 remu t5,ra,sp + a0: 00000e93 li t4,0 + a4: 00800193 li gp,8 + a8: 03df1c63 bne t5,t4,e0 -000000b0 : - b0: 00100093 li ra,1 - b4: 00000113 li sp,0 - b8: 0220ff33 remu t5,ra,sp - bc: 00100e93 li t4,1 - c0: 00900193 li gp,9 - c4: 03df1063 bne t5,t4,e4 +000000ac : + ac: 00100093 li ra,1 + b0: 00000113 li sp,0 + b4: 0220ff33 remu t5,ra,sp + b8: 00100e93 li t4,1 + bc: 00900193 li gp,9 + c0: 03df1063 bne t5,t4,e0 -000000c8 : - c8: 00000093 li ra,0 - cc: 00000113 li sp,0 - d0: 0220ff33 remu t5,ra,sp - d4: 00000e93 li t4,0 - d8: 00a00193 li gp,10 - dc: 01df1463 bne t5,t4,e4 - e0: 00301863 bne zero,gp,f0 +000000c4 : + c4: 00000093 li ra,0 + c8: 00000113 li sp,0 + cc: 0220ff33 remu t5,ra,sp + d0: 00000e93 li t4,0 + d4: 00a00193 li gp,10 + d8: 01df1463 bne t5,t4,e0 + dc: 00301663 bne zero,gp,e8 -000000e4 : - e4: 00000d93 li s11,0 - e8: 00100d13 li s10,1 +000000e0 : + e0: 1000d073 csrwi sstatus,1 -000000ec : - ec: 0000006f j ec +000000e4 : + e4: 0000006f j e4 -000000f0 : - f0: 00100d93 li s11,1 - f4: 00100d13 li s10,1 +000000e8 : + e8: 1001d073 csrwi sstatus,3 -000000f8 : - f8: 0000006f j f8 +000000ec : + ec: 0000006f j ec ... Disassembly of section .tohost: @@ -107,12 +104,12 @@ Disassembly of section .tohost: Disassembly of section .riscv.attributes: 00000000 <.riscv.attributes>: - 0: 1e41 addi t3,t3,-16 + 0: 2241 jal 180 2: 0000 unimp 4: 7200 flw fs0,32(a2) 6: 7369 lui t1,0xffffa - 8: 01007663 bgeu zero,a6,14 - c: 0014 0x14 + 8: 01007663 bgeu zero,a6,14 + c: 0018 0x18 e: 0000 unimp 10: 7205 lui tp,0xfffe1 12: 3376 fld ft6,376(sp) @@ -121,4 +118,7 @@ Disassembly of section .riscv.attributes: 18: 5f30 lw a2,120(a4) 1a: 326d jal fffff9c4 1c: 3070 fld fa2,224(s0) - ... + 1e: 0800 addi s0,sp,16 + 20: 0a01 addi s4,s4,0 + 22: 0b Address 0x0000000000000022 is out of bounds. + diff --git a/tests/isa/generated/rv32um-p-remu.mem b/tests/isa/generated/rv32um-p-remu.mem index 927d8f1..2dbe1c7 100644 --- a/tests/isa/generated/rv32um-p-remu.mem +++ b/tests/isa/generated/rv32um-p-remu.mem @@ -1,5 +1,4 @@ -00000d13 -00000d93 +10005073 01400093 00600113 0220ff33 @@ -54,12 +53,10 @@ fff00113 00000e93 00a00193 01df1463 -00301863 -00000d93 -00100d13 +00301663 +1000d073 0000006f -00100d93 -00100d13 +1001d073 0000006f 00000000 00000000 @@ -96,3 +93,6 @@ fff00113 00000000 00000000 00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-remu.verilog b/tests/isa/generated/rv32um-p-remu.verilog index 87fba21..18228f9 100755 --- a/tests/isa/generated/rv32um-p-remu.verilog +++ b/tests/isa/generated/rv32um-p-remu.verilog @@ -1,20 +1,20 @@ @00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 FF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 FF 20 02 93 0E 20 00 -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 FF 20 02 93 0E 40 01 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 FF 20 02 93 0E C0 FE -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 FF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 FF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 FF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 FF 20 02 93 0E 10 00 -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 FF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 -63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 -93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +73 50 00 10 93 00 40 01 13 01 60 00 33 FF 20 02 +93 0E 20 00 93 01 20 00 63 14 DF 0D 93 00 C0 FE +13 01 60 00 33 FF 20 02 93 0E 20 00 93 01 30 00 +63 18 DF 0B 93 00 40 01 13 01 A0 FF 33 FF 20 02 +93 0E 40 01 93 01 40 00 63 1C DF 09 93 00 C0 FE +13 01 A0 FF 33 FF 20 02 93 0E C0 FE 93 01 50 00 +63 10 DF 09 93 00 00 00 13 01 10 00 33 FF 20 02 +93 0E 00 00 93 01 60 00 63 14 DF 07 93 00 00 00 +13 01 F0 FF 33 FF 20 02 93 0E 00 00 93 01 70 00 +63 18 DF 05 93 00 00 00 13 01 00 00 33 FF 20 02 +93 0E 00 00 93 01 80 00 63 1C DF 03 93 00 10 00 +13 01 00 00 33 FF 20 02 93 0E 10 00 93 01 90 00 +63 10 DF 03 93 00 00 00 13 01 00 00 33 FF 20 02 +93 0E 00 00 93 01 A0 00 63 14 DF 01 63 16 30 00 +73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00000140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/tests/isa/riscv_test.h b/tests/isa/riscv_test.h index 604a666..0856f1e 100644 --- a/tests/isa/riscv_test.h +++ b/tests/isa/riscv_test.h @@ -1,159 +1,156 @@ -// See LICENSE for license details. - -#ifndef __RISCV_TEST_H -#define __RISCV_TEST_H - -#ifndef __riscv_xlen -#define __riscv_xlen 32 -#endif - -//----------------------------------------------------------------------- -// Begin Macro -//----------------------------------------------------------------------- - -#define RVTEST_RV64U \ - .macro init; \ - .endm - -#define RVTEST_RV64UF \ - .macro init; \ - RVTEST_FP_ENABLE; \ - .endm - -#define RVTEST_RV32U \ - .macro init; \ - .endm - -#define RVTEST_RV32UF \ - .macro init; \ - RVTEST_FP_ENABLE; \ - .endm - -#define RVTEST_RV64M \ - .macro init; \ - RVTEST_ENABLE_MACHINE; \ - .endm - -#define RVTEST_RV64S \ - .macro init; \ - RVTEST_ENABLE_SUPERVISOR; \ - .endm - -#define RVTEST_RV32M \ - .macro init; \ - RVTEST_ENABLE_MACHINE; \ - .endm - -#define RVTEST_RV32S \ - .macro init; \ - RVTEST_ENABLE_SUPERVISOR; \ - .endm - -#if __riscv_xlen == 64 -# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bgez a0, 1f; RVTEST_PASS; 1: -#else -# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bltz a0, 1f; RVTEST_PASS; 1: -#endif - -#define INIT_PMP \ - la t0, 1f; \ - csrw mtvec, t0; \ - li t0, -1; /* Set up a PMP to permit all accesses */ \ - csrw pmpaddr0, t0; \ - li t0, PMP_NAPOT | PMP_R | PMP_W | PMP_X; \ - csrw pmpcfg0, t0; \ - .align 2; \ -1: - -#define INIT_SPTBR \ - la t0, 1f; \ - csrw mtvec, t0; \ - csrwi sptbr, 0; \ - .align 2; \ -1: - -#define DELEGATE_NO_TRAPS \ - la t0, 1f; \ - csrw mtvec, t0; \ - csrwi medeleg, 0; \ - csrwi mideleg, 0; \ - csrwi mie, 0; \ - .align 2; \ -1: - -#define RVTEST_ENABLE_SUPERVISOR \ - li a0, MSTATUS_MPP & (MSTATUS_MPP >> 1); \ - csrs mstatus, a0; \ - li a0, SIP_SSIP | SIP_STIP; \ - csrs mideleg, a0; \ - -#define RVTEST_ENABLE_MACHINE \ - li a0, MSTATUS_MPP; \ - csrs mstatus, a0; \ - -#define RVTEST_FP_ENABLE \ - li a0, MSTATUS_FS & (MSTATUS_FS >> 1); \ - csrs mstatus, a0; \ - csrwi fcsr, 0 - -#define RISCV_MULTICORE_DISABLE \ - csrr a0, mhartid; \ - 1: bnez a0, 1b - -#define EXTRA_TVEC_USER -#define EXTRA_TVEC_MACHINE -#define EXTRA_INIT -#define EXTRA_INIT_TIMER - -#define INTERRUPT_HANDLER j other_exception /* No interrupts should occur */ - -#define RVTEST_CODE_BEGIN \ - .section .text.init; \ - .align 6; \ - .globl _start; \ -_start: \ - li x26, 0x00; \ - li x27, 0x00; - -//----------------------------------------------------------------------- -// End Macro -//----------------------------------------------------------------------- - -#define RVTEST_CODE_END - - -//----------------------------------------------------------------------- -// Pass/Fail Macro -//----------------------------------------------------------------------- - -#define RVTEST_PASS \ - li x27, 0x01; \ - li x26, 0x01; \ -loop_pass: \ - j loop_pass - -#define TESTNUM gp - -#define RVTEST_FAIL \ - li x27, 0x00; \ - li x26, 0x01; \ -loop_fail: \ - j loop_fail - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#define EXTRA_DATA - -#define RVTEST_DATA_BEGIN \ - EXTRA_DATA \ - .pushsection .tohost,"aw",@progbits; \ - .align 6; .global tohost; tohost: .dword 0; \ - .align 6; .global fromhost; fromhost: .dword 0; \ - .popsection; \ - .align 4; .global begin_signature; begin_signature: - -#define RVTEST_DATA_END .align 4; .global end_signature; end_signature: - -#endif +// See LICENSE for license details. + +#ifndef __RISCV_TEST_H +#define __RISCV_TEST_H + +#ifndef __riscv_xlen +#define __riscv_xlen 32 +#endif + +//----------------------------------------------------------------------- +// Begin Macro +//----------------------------------------------------------------------- + +#define RVTEST_RV64U \ + .macro init; \ + .endm + +#define RVTEST_RV64UF \ + .macro init; \ + RVTEST_FP_ENABLE; \ + .endm + +#define RVTEST_RV32U \ + .macro init; \ + .endm + +#define RVTEST_RV32UF \ + .macro init; \ + RVTEST_FP_ENABLE; \ + .endm + +#define RVTEST_RV64M \ + .macro init; \ + RVTEST_ENABLE_MACHINE; \ + .endm + +#define RVTEST_RV64S \ + .macro init; \ + RVTEST_ENABLE_SUPERVISOR; \ + .endm + +#define RVTEST_RV32M \ + .macro init; \ + RVTEST_ENABLE_MACHINE; \ + .endm + +#define RVTEST_RV32S \ + .macro init; \ + RVTEST_ENABLE_SUPERVISOR; \ + .endm + +#if __riscv_xlen == 64 +# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bgez a0, 1f; RVTEST_PASS; 1: +#else +# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bltz a0, 1f; RVTEST_PASS; 1: +#endif + +#define INIT_PMP \ + la t0, 1f; \ + csrw mtvec, t0; \ + li t0, -1; /* Set up a PMP to permit all accesses */ \ + csrw pmpaddr0, t0; \ + li t0, PMP_NAPOT | PMP_R | PMP_W | PMP_X; \ + csrw pmpcfg0, t0; \ + .align 2; \ +1: + +#define INIT_SPTBR \ + la t0, 1f; \ + csrw mtvec, t0; \ + csrwi sptbr, 0; \ + .align 2; \ +1: + +#define DELEGATE_NO_TRAPS \ + la t0, 1f; \ + csrw mtvec, t0; \ + csrwi medeleg, 0; \ + csrwi mideleg, 0; \ + csrwi mie, 0; \ + .align 2; \ +1: + +#define RVTEST_ENABLE_SUPERVISOR \ + li a0, MSTATUS_MPP & (MSTATUS_MPP >> 1); \ + csrs mstatus, a0; \ + li a0, SIP_SSIP | SIP_STIP; \ + csrs mideleg, a0; \ + +#define RVTEST_ENABLE_MACHINE \ + li a0, MSTATUS_MPP; \ + csrs mstatus, a0; \ + +#define RVTEST_FP_ENABLE \ + li a0, MSTATUS_FS & (MSTATUS_FS >> 1); \ + csrs mstatus, a0; \ + csrwi fcsr, 0 + +#define RISCV_MULTICORE_DISABLE \ + csrr a0, mhartid; \ + 1: bnez a0, 1b + +#define EXTRA_TVEC_USER +#define EXTRA_TVEC_MACHINE +#define EXTRA_INIT +#define EXTRA_INIT_TIMER + +#define INTERRUPT_HANDLER j other_exception /* No interrupts should occur */ + +#define RVTEST_CODE_BEGIN \ + .section .text.init; \ + .align 6; \ + .globl _start; \ +_start: \ + csrrwi x0, sstatus, 0x0; + +//----------------------------------------------------------------------- +// End Macro +//----------------------------------------------------------------------- + +#define RVTEST_CODE_END + + +//----------------------------------------------------------------------- +// Pass/Fail Macro +//----------------------------------------------------------------------- + +#define RVTEST_PASS \ + csrrwi x0, sstatus, 0x3; \ +loop_pass: \ + j loop_pass + +#define TESTNUM gp + +#define RVTEST_FAIL \ + csrrwi x0, sstatus, 0x1; \ +loop_fail: \ + j loop_fail + +//----------------------------------------------------------------------- +// Data Section Macro +//----------------------------------------------------------------------- + +#define EXTRA_DATA + +#define RVTEST_DATA_BEGIN \ + EXTRA_DATA \ + .pushsection .tohost,"aw",@progbits; \ + .align 6; .global tohost; tohost: .dword 0; \ + .align 6; .global fromhost; fromhost: .dword 0; \ + .popsection; \ + .align 4; .global begin_signature; begin_signature: + +#define RVTEST_DATA_END .align 4; .global end_signature; end_signature: + +#endif