test: use csr_sstatus for test result
Signed-off-by: liangkangnan <liangkangnan@163.com>pull/4/head
parent
fd2c981317
commit
18de7f2e00
|
@ -55,6 +55,11 @@ module csr_reg(
|
|||
|
||||
wire[31:0] misa = 32'h40001100; // 32bits, IM
|
||||
|
||||
// for verification result
|
||||
reg[31:0] sstatus_d;
|
||||
wire[31:0] sstatus_q;
|
||||
reg sstatus_we;
|
||||
|
||||
reg[31:0] mtvec_d;
|
||||
wire[31:0] mtvec_q;
|
||||
reg mtvec_we;
|
||||
|
@ -215,6 +220,8 @@ module csr_reg(
|
|||
dpc_we = 1'b0;
|
||||
dcsr_d = dcsr_q;
|
||||
dcsr_we = 1'b0;
|
||||
sstatus_d = sstatus_q;
|
||||
sstatus_we = 1'b0;
|
||||
|
||||
if (we) begin
|
||||
case (waddr[11:0])
|
||||
|
@ -254,6 +261,10 @@ module csr_reg(
|
|||
mhartid_d = wdata;
|
||||
mhartid_we = 1'b1;
|
||||
end
|
||||
`CSR_SSTATUS: begin
|
||||
sstatus_d = wdata;
|
||||
sstatus_we = 1'b1;
|
||||
end
|
||||
`CSR_DPC: begin
|
||||
dpc_d = wdata;
|
||||
dpc_we = 1'b1;
|
||||
|
@ -459,6 +470,17 @@ module csr_reg(
|
|||
.rdata_o(tselect_q)
|
||||
);
|
||||
|
||||
// sstatus
|
||||
csr #(
|
||||
.RESET_VAL(32'h0)
|
||||
) sstatus_csr (
|
||||
.clk(clk),
|
||||
.rst_n(rst_n),
|
||||
.wdata_i(sstatus_d),
|
||||
.we_i(sstatus_we),
|
||||
.rdata_o(sstatus_q)
|
||||
);
|
||||
|
||||
for (genvar i = 0; i < HwBpNum; i = i + 1) begin : dbg_tmatch_reg
|
||||
// tdata1
|
||||
csr #(
|
||||
|
|
|
@ -144,6 +144,8 @@
|
|||
`define CSR_MSCRATCH 12'h340
|
||||
`define CSR_MHARTID 12'hF14
|
||||
`define CSR_MISA 12'h301
|
||||
// only used for verification
|
||||
`define CSR_SSTATUS 12'h100
|
||||
// Debug
|
||||
`define CSR_DCSR 12'h7b0
|
||||
`define CSR_DPC 12'h7b1
|
||||
|
|
|
@ -17,8 +17,8 @@
|
|||
|
||||
|
||||
#ifdef SIMULATION
|
||||
#define set_test_pass() asm("li x27, 0x01")
|
||||
#define set_test_fail() asm("li x27, 0x00")
|
||||
#define set_test_pass() asm("csrrwi x0, sstatus, 0x3")
|
||||
#define set_test_fail() asm("csrrwi x0, sstatus, 0x1")
|
||||
#endif
|
||||
|
||||
|
||||
|
|
|
@ -29,10 +29,12 @@ module tb_top_verilator #(
|
|||
|
||||
wire halted;
|
||||
|
||||
wire[31:0] x3 = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[3];
|
||||
wire[31:0] x26 = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[26];
|
||||
wire[31:0] x27 = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[27];
|
||||
|
||||
// ISA、自定义程序测试
|
||||
wire[31:0] fail_num = u_tinyriscv_soc_top.u_tinyriscv_core.u_gpr_reg.regs[3];
|
||||
wire[31:0] sim_result = u_tinyriscv_soc_top.u_tinyriscv_core.u_csr_reg.sstatus_q;
|
||||
wire sim_end = sim_result[0];
|
||||
wire sim_succ = sim_result[1];
|
||||
// riscv compliance测试
|
||||
wire[31:0] end_flag = u_tinyriscv_soc_top.u_ram.u_gen_ram.ram[4];
|
||||
wire[31:0] begin_signature = u_tinyriscv_soc_top.u_ram.u_gen_ram.ram[2];
|
||||
wire[31:0] end_signature = u_tinyriscv_soc_top.u_ram.u_gen_ram.ram[3];
|
||||
|
@ -67,8 +69,8 @@ module tb_top_verilator #(
|
|||
$finish;
|
||||
end
|
||||
`else
|
||||
if (x26 == 32'b1) begin
|
||||
if (x27 == 32'b1) begin
|
||||
if (sim_end == 1'b1) begin
|
||||
if (sim_succ == 1'b1) begin
|
||||
$display("~~~~~~~~~~~~~~~~~~~ TEST_PASS ~~~~~~~~~~~~~~~~~~~");
|
||||
$display("~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~");
|
||||
$display("~~~~~~~~~ ##### ## #### #### ~~~~~~~~~");
|
||||
|
@ -89,7 +91,7 @@ module tb_top_verilator #(
|
|||
$display("~~~~~~~~~~# # # # ######~~~~~~~~~~");
|
||||
$display("~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~");
|
||||
`ifdef TEST_ISA
|
||||
$display("fail testnum = %2d", x3);
|
||||
$display("fail testnum = %2d", fail_num);
|
||||
`endif
|
||||
end
|
||||
result_printed <= 1'b1;
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,409 +5,405 @@ generated/rv32ui-p-add: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00000093 li ra,0
|
||||
c: 00000113 li sp,0
|
||||
10: 00208f33 add t5,ra,sp
|
||||
14: 00000e93 li t4,0
|
||||
18: 00200193 li gp,2
|
||||
1c: 4ddf1663 bne t5,t4,4e8 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00000093 li ra,0
|
||||
8: 00000113 li sp,0
|
||||
c: 00208f33 add t5,ra,sp
|
||||
10: 00000e93 li t4,0
|
||||
14: 00200193 li gp,2
|
||||
18: 4ddf1663 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000020 <test_3>:
|
||||
20: 00100093 li ra,1
|
||||
24: 00100113 li sp,1
|
||||
28: 00208f33 add t5,ra,sp
|
||||
2c: 00200e93 li t4,2
|
||||
30: 00300193 li gp,3
|
||||
34: 4bdf1a63 bne t5,t4,4e8 <fail>
|
||||
0000001c <test_3>:
|
||||
1c: 00100093 li ra,1
|
||||
20: 00100113 li sp,1
|
||||
24: 00208f33 add t5,ra,sp
|
||||
28: 00200e93 li t4,2
|
||||
2c: 00300193 li gp,3
|
||||
30: 4bdf1a63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000038 <test_4>:
|
||||
38: 00300093 li ra,3
|
||||
3c: 00700113 li sp,7
|
||||
40: 00208f33 add t5,ra,sp
|
||||
44: 00a00e93 li t4,10
|
||||
48: 00400193 li gp,4
|
||||
4c: 49df1e63 bne t5,t4,4e8 <fail>
|
||||
00000034 <test_4>:
|
||||
34: 00300093 li ra,3
|
||||
38: 00700113 li sp,7
|
||||
3c: 00208f33 add t5,ra,sp
|
||||
40: 00a00e93 li t4,10
|
||||
44: 00400193 li gp,4
|
||||
48: 49df1e63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000050 <test_5>:
|
||||
50: 00000093 li ra,0
|
||||
54: ffff8137 lui sp,0xffff8
|
||||
58: 00208f33 add t5,ra,sp
|
||||
5c: ffff8eb7 lui t4,0xffff8
|
||||
60: 00500193 li gp,5
|
||||
64: 49df1263 bne t5,t4,4e8 <fail>
|
||||
0000004c <test_5>:
|
||||
4c: 00000093 li ra,0
|
||||
50: ffff8137 lui sp,0xffff8
|
||||
54: 00208f33 add t5,ra,sp
|
||||
58: ffff8eb7 lui t4,0xffff8
|
||||
5c: 00500193 li gp,5
|
||||
60: 49df1263 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000068 <test_6>:
|
||||
68: 800000b7 lui ra,0x80000
|
||||
6c: 00000113 li sp,0
|
||||
70: 00208f33 add t5,ra,sp
|
||||
74: 80000eb7 lui t4,0x80000
|
||||
78: 00600193 li gp,6
|
||||
7c: 47df1663 bne t5,t4,4e8 <fail>
|
||||
00000064 <test_6>:
|
||||
64: 800000b7 lui ra,0x80000
|
||||
68: 00000113 li sp,0
|
||||
6c: 00208f33 add t5,ra,sp
|
||||
70: 80000eb7 lui t4,0x80000
|
||||
74: 00600193 li gp,6
|
||||
78: 47df1663 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000080 <test_7>:
|
||||
80: 800000b7 lui ra,0x80000
|
||||
84: ffff8137 lui sp,0xffff8
|
||||
88: 00208f33 add t5,ra,sp
|
||||
8c: 7fff8eb7 lui t4,0x7fff8
|
||||
90: 00700193 li gp,7
|
||||
94: 45df1a63 bne t5,t4,4e8 <fail>
|
||||
0000007c <test_7>:
|
||||
7c: 800000b7 lui ra,0x80000
|
||||
80: ffff8137 lui sp,0xffff8
|
||||
84: 00208f33 add t5,ra,sp
|
||||
88: 7fff8eb7 lui t4,0x7fff8
|
||||
8c: 00700193 li gp,7
|
||||
90: 45df1a63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000098 <test_8>:
|
||||
98: 00000093 li ra,0
|
||||
9c: 00008137 lui sp,0x8
|
||||
a0: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
|
||||
a4: 00208f33 add t5,ra,sp
|
||||
a8: 00008eb7 lui t4,0x8
|
||||
ac: fffe8e93 addi t4,t4,-1 # 7fff <begin_signature+0x6fff>
|
||||
b0: 00800193 li gp,8
|
||||
b4: 43df1a63 bne t5,t4,4e8 <fail>
|
||||
00000094 <test_8>:
|
||||
94: 00000093 li ra,0
|
||||
98: 00008137 lui sp,0x8
|
||||
9c: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
|
||||
a0: 00208f33 add t5,ra,sp
|
||||
a4: 00008eb7 lui t4,0x8
|
||||
a8: fffe8e93 addi t4,t4,-1 # 7fff <begin_signature+0x6fff>
|
||||
ac: 00800193 li gp,8
|
||||
b0: 43df1a63 bne t5,t4,4e4 <fail>
|
||||
|
||||
000000b8 <test_9>:
|
||||
b8: 800000b7 lui ra,0x80000
|
||||
bc: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
c0: 00000113 li sp,0
|
||||
c4: 00208f33 add t5,ra,sp
|
||||
c8: 80000eb7 lui t4,0x80000
|
||||
cc: fffe8e93 addi t4,t4,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
d0: 00900193 li gp,9
|
||||
d4: 41df1a63 bne t5,t4,4e8 <fail>
|
||||
000000b4 <test_9>:
|
||||
b4: 800000b7 lui ra,0x80000
|
||||
b8: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
bc: 00000113 li sp,0
|
||||
c0: 00208f33 add t5,ra,sp
|
||||
c4: 80000eb7 lui t4,0x80000
|
||||
c8: fffe8e93 addi t4,t4,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
cc: 00900193 li gp,9
|
||||
d0: 41df1a63 bne t5,t4,4e4 <fail>
|
||||
|
||||
000000d8 <test_10>:
|
||||
d8: 800000b7 lui ra,0x80000
|
||||
dc: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
e0: 00008137 lui sp,0x8
|
||||
e4: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
|
||||
e8: 00208f33 add t5,ra,sp
|
||||
ec: 80008eb7 lui t4,0x80008
|
||||
f0: ffee8e93 addi t4,t4,-2 # 80007ffe <begin_signature+0x80006ffe>
|
||||
f4: 00a00193 li gp,10
|
||||
f8: 3fdf1863 bne t5,t4,4e8 <fail>
|
||||
000000d4 <test_10>:
|
||||
d4: 800000b7 lui ra,0x80000
|
||||
d8: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
dc: 00008137 lui sp,0x8
|
||||
e0: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
|
||||
e4: 00208f33 add t5,ra,sp
|
||||
e8: 80008eb7 lui t4,0x80008
|
||||
ec: ffee8e93 addi t4,t4,-2 # 80007ffe <begin_signature+0x80006ffe>
|
||||
f0: 00a00193 li gp,10
|
||||
f4: 3fdf1863 bne t5,t4,4e4 <fail>
|
||||
|
||||
000000fc <test_11>:
|
||||
fc: 800000b7 lui ra,0x80000
|
||||
100: 00008137 lui sp,0x8
|
||||
104: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
|
||||
108: 00208f33 add t5,ra,sp
|
||||
10c: 80008eb7 lui t4,0x80008
|
||||
110: fffe8e93 addi t4,t4,-1 # 80007fff <begin_signature+0x80006fff>
|
||||
114: 00b00193 li gp,11
|
||||
118: 3ddf1863 bne t5,t4,4e8 <fail>
|
||||
000000f8 <test_11>:
|
||||
f8: 800000b7 lui ra,0x80000
|
||||
fc: 00008137 lui sp,0x8
|
||||
100: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
|
||||
104: 00208f33 add t5,ra,sp
|
||||
108: 80008eb7 lui t4,0x80008
|
||||
10c: fffe8e93 addi t4,t4,-1 # 80007fff <begin_signature+0x80006fff>
|
||||
110: 00b00193 li gp,11
|
||||
114: 3ddf1863 bne t5,t4,4e4 <fail>
|
||||
|
||||
0000011c <test_12>:
|
||||
11c: 800000b7 lui ra,0x80000
|
||||
120: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
124: ffff8137 lui sp,0xffff8
|
||||
128: 00208f33 add t5,ra,sp
|
||||
12c: 7fff8eb7 lui t4,0x7fff8
|
||||
130: fffe8e93 addi t4,t4,-1 # 7fff7fff <begin_signature+0x7fff6fff>
|
||||
134: 00c00193 li gp,12
|
||||
138: 3bdf1863 bne t5,t4,4e8 <fail>
|
||||
00000118 <test_12>:
|
||||
118: 800000b7 lui ra,0x80000
|
||||
11c: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
120: ffff8137 lui sp,0xffff8
|
||||
124: 00208f33 add t5,ra,sp
|
||||
128: 7fff8eb7 lui t4,0x7fff8
|
||||
12c: fffe8e93 addi t4,t4,-1 # 7fff7fff <begin_signature+0x7fff6fff>
|
||||
130: 00c00193 li gp,12
|
||||
134: 3bdf1863 bne t5,t4,4e4 <fail>
|
||||
|
||||
0000013c <test_13>:
|
||||
13c: 00000093 li ra,0
|
||||
140: fff00113 li sp,-1
|
||||
144: 00208f33 add t5,ra,sp
|
||||
148: fff00e93 li t4,-1
|
||||
14c: 00d00193 li gp,13
|
||||
150: 39df1c63 bne t5,t4,4e8 <fail>
|
||||
00000138 <test_13>:
|
||||
138: 00000093 li ra,0
|
||||
13c: fff00113 li sp,-1
|
||||
140: 00208f33 add t5,ra,sp
|
||||
144: fff00e93 li t4,-1
|
||||
148: 00d00193 li gp,13
|
||||
14c: 39df1c63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000154 <test_14>:
|
||||
154: fff00093 li ra,-1
|
||||
158: 00100113 li sp,1
|
||||
15c: 00208f33 add t5,ra,sp
|
||||
160: 00000e93 li t4,0
|
||||
164: 00e00193 li gp,14
|
||||
168: 39df1063 bne t5,t4,4e8 <fail>
|
||||
00000150 <test_14>:
|
||||
150: fff00093 li ra,-1
|
||||
154: 00100113 li sp,1
|
||||
158: 00208f33 add t5,ra,sp
|
||||
15c: 00000e93 li t4,0
|
||||
160: 00e00193 li gp,14
|
||||
164: 39df1063 bne t5,t4,4e4 <fail>
|
||||
|
||||
0000016c <test_15>:
|
||||
16c: fff00093 li ra,-1
|
||||
170: fff00113 li sp,-1
|
||||
174: 00208f33 add t5,ra,sp
|
||||
178: ffe00e93 li t4,-2
|
||||
17c: 00f00193 li gp,15
|
||||
180: 37df1463 bne t5,t4,4e8 <fail>
|
||||
00000168 <test_15>:
|
||||
168: fff00093 li ra,-1
|
||||
16c: fff00113 li sp,-1
|
||||
170: 00208f33 add t5,ra,sp
|
||||
174: ffe00e93 li t4,-2
|
||||
178: 00f00193 li gp,15
|
||||
17c: 37df1463 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000184 <test_16>:
|
||||
184: 00100093 li ra,1
|
||||
188: 80000137 lui sp,0x80000
|
||||
18c: fff10113 addi sp,sp,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
190: 00208f33 add t5,ra,sp
|
||||
194: 80000eb7 lui t4,0x80000
|
||||
198: 01000193 li gp,16
|
||||
19c: 35df1663 bne t5,t4,4e8 <fail>
|
||||
00000180 <test_16>:
|
||||
180: 00100093 li ra,1
|
||||
184: 80000137 lui sp,0x80000
|
||||
188: fff10113 addi sp,sp,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
18c: 00208f33 add t5,ra,sp
|
||||
190: 80000eb7 lui t4,0x80000
|
||||
194: 01000193 li gp,16
|
||||
198: 35df1663 bne t5,t4,4e4 <fail>
|
||||
|
||||
000001a0 <test_17>:
|
||||
1a0: 00d00093 li ra,13
|
||||
1a4: 00b00113 li sp,11
|
||||
1a8: 002080b3 add ra,ra,sp
|
||||
1ac: 01800e93 li t4,24
|
||||
1b0: 01100193 li gp,17
|
||||
1b4: 33d09a63 bne ra,t4,4e8 <fail>
|
||||
0000019c <test_17>:
|
||||
19c: 00d00093 li ra,13
|
||||
1a0: 00b00113 li sp,11
|
||||
1a4: 002080b3 add ra,ra,sp
|
||||
1a8: 01800e93 li t4,24
|
||||
1ac: 01100193 li gp,17
|
||||
1b0: 33d09a63 bne ra,t4,4e4 <fail>
|
||||
|
||||
000001b8 <test_18>:
|
||||
1b8: 00e00093 li ra,14
|
||||
1bc: 00b00113 li sp,11
|
||||
1c0: 00208133 add sp,ra,sp
|
||||
1c4: 01900e93 li t4,25
|
||||
1c8: 01200193 li gp,18
|
||||
1cc: 31d11e63 bne sp,t4,4e8 <fail>
|
||||
000001b4 <test_18>:
|
||||
1b4: 00e00093 li ra,14
|
||||
1b8: 00b00113 li sp,11
|
||||
1bc: 00208133 add sp,ra,sp
|
||||
1c0: 01900e93 li t4,25
|
||||
1c4: 01200193 li gp,18
|
||||
1c8: 31d11e63 bne sp,t4,4e4 <fail>
|
||||
|
||||
000001d0 <test_19>:
|
||||
1d0: 00d00093 li ra,13
|
||||
1d4: 001080b3 add ra,ra,ra
|
||||
1d8: 01a00e93 li t4,26
|
||||
1dc: 01300193 li gp,19
|
||||
1e0: 31d09463 bne ra,t4,4e8 <fail>
|
||||
000001cc <test_19>:
|
||||
1cc: 00d00093 li ra,13
|
||||
1d0: 001080b3 add ra,ra,ra
|
||||
1d4: 01a00e93 li t4,26
|
||||
1d8: 01300193 li gp,19
|
||||
1dc: 31d09463 bne ra,t4,4e4 <fail>
|
||||
|
||||
000001e4 <test_20>:
|
||||
1e4: 00000213 li tp,0
|
||||
1e8: 00d00093 li ra,13
|
||||
1ec: 00b00113 li sp,11
|
||||
1f0: 00208f33 add t5,ra,sp
|
||||
1f4: 000f0313 mv t1,t5
|
||||
1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1fc: 00200293 li t0,2
|
||||
200: fe5214e3 bne tp,t0,1e8 <test_20+0x4>
|
||||
204: 01800e93 li t4,24
|
||||
208: 01400193 li gp,20
|
||||
20c: 2dd31e63 bne t1,t4,4e8 <fail>
|
||||
000001e0 <test_20>:
|
||||
1e0: 00000213 li tp,0
|
||||
1e4: 00d00093 li ra,13
|
||||
1e8: 00b00113 li sp,11
|
||||
1ec: 00208f33 add t5,ra,sp
|
||||
1f0: 000f0313 mv t1,t5
|
||||
1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f8: 00200293 li t0,2
|
||||
1fc: fe5214e3 bne tp,t0,1e4 <test_20+0x4>
|
||||
200: 01800e93 li t4,24
|
||||
204: 01400193 li gp,20
|
||||
208: 2dd31e63 bne t1,t4,4e4 <fail>
|
||||
|
||||
00000210 <test_21>:
|
||||
210: 00000213 li tp,0
|
||||
214: 00e00093 li ra,14
|
||||
218: 00b00113 li sp,11
|
||||
21c: 00208f33 add t5,ra,sp
|
||||
220: 00000013 nop
|
||||
224: 000f0313 mv t1,t5
|
||||
228: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
22c: 00200293 li t0,2
|
||||
230: fe5212e3 bne tp,t0,214 <test_21+0x4>
|
||||
234: 01900e93 li t4,25
|
||||
238: 01500193 li gp,21
|
||||
23c: 2bd31663 bne t1,t4,4e8 <fail>
|
||||
0000020c <test_21>:
|
||||
20c: 00000213 li tp,0
|
||||
210: 00e00093 li ra,14
|
||||
214: 00b00113 li sp,11
|
||||
218: 00208f33 add t5,ra,sp
|
||||
21c: 00000013 nop
|
||||
220: 000f0313 mv t1,t5
|
||||
224: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
228: 00200293 li t0,2
|
||||
22c: fe5212e3 bne tp,t0,210 <test_21+0x4>
|
||||
230: 01900e93 li t4,25
|
||||
234: 01500193 li gp,21
|
||||
238: 2bd31663 bne t1,t4,4e4 <fail>
|
||||
|
||||
00000240 <test_22>:
|
||||
240: 00000213 li tp,0
|
||||
244: 00f00093 li ra,15
|
||||
248: 00b00113 li sp,11
|
||||
24c: 00208f33 add t5,ra,sp
|
||||
0000023c <test_22>:
|
||||
23c: 00000213 li tp,0
|
||||
240: 00f00093 li ra,15
|
||||
244: 00b00113 li sp,11
|
||||
248: 00208f33 add t5,ra,sp
|
||||
24c: 00000013 nop
|
||||
250: 00000013 nop
|
||||
254: 00000013 nop
|
||||
258: 000f0313 mv t1,t5
|
||||
25c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
260: 00200293 li t0,2
|
||||
264: fe5210e3 bne tp,t0,244 <test_22+0x4>
|
||||
268: 01a00e93 li t4,26
|
||||
26c: 01600193 li gp,22
|
||||
270: 27d31c63 bne t1,t4,4e8 <fail>
|
||||
254: 000f0313 mv t1,t5
|
||||
258: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
25c: 00200293 li t0,2
|
||||
260: fe5210e3 bne tp,t0,240 <test_22+0x4>
|
||||
264: 01a00e93 li t4,26
|
||||
268: 01600193 li gp,22
|
||||
26c: 27d31c63 bne t1,t4,4e4 <fail>
|
||||
|
||||
00000274 <test_23>:
|
||||
274: 00000213 li tp,0
|
||||
278: 00d00093 li ra,13
|
||||
27c: 00b00113 li sp,11
|
||||
280: 00208f33 add t5,ra,sp
|
||||
284: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
288: 00200293 li t0,2
|
||||
28c: fe5216e3 bne tp,t0,278 <test_23+0x4>
|
||||
290: 01800e93 li t4,24
|
||||
294: 01700193 li gp,23
|
||||
298: 25df1863 bne t5,t4,4e8 <fail>
|
||||
00000270 <test_23>:
|
||||
270: 00000213 li tp,0
|
||||
274: 00d00093 li ra,13
|
||||
278: 00b00113 li sp,11
|
||||
27c: 00208f33 add t5,ra,sp
|
||||
280: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
284: 00200293 li t0,2
|
||||
288: fe5216e3 bne tp,t0,274 <test_23+0x4>
|
||||
28c: 01800e93 li t4,24
|
||||
290: 01700193 li gp,23
|
||||
294: 25df1863 bne t5,t4,4e4 <fail>
|
||||
|
||||
0000029c <test_24>:
|
||||
29c: 00000213 li tp,0
|
||||
2a0: 00e00093 li ra,14
|
||||
2a4: 00b00113 li sp,11
|
||||
2a8: 00000013 nop
|
||||
2ac: 00208f33 add t5,ra,sp
|
||||
2b0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2b4: 00200293 li t0,2
|
||||
2b8: fe5214e3 bne tp,t0,2a0 <test_24+0x4>
|
||||
2bc: 01900e93 li t4,25
|
||||
2c0: 01800193 li gp,24
|
||||
2c4: 23df1263 bne t5,t4,4e8 <fail>
|
||||
00000298 <test_24>:
|
||||
298: 00000213 li tp,0
|
||||
29c: 00e00093 li ra,14
|
||||
2a0: 00b00113 li sp,11
|
||||
2a4: 00000013 nop
|
||||
2a8: 00208f33 add t5,ra,sp
|
||||
2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2b0: 00200293 li t0,2
|
||||
2b4: fe5214e3 bne tp,t0,29c <test_24+0x4>
|
||||
2b8: 01900e93 li t4,25
|
||||
2bc: 01800193 li gp,24
|
||||
2c0: 23df1263 bne t5,t4,4e4 <fail>
|
||||
|
||||
000002c8 <test_25>:
|
||||
2c8: 00000213 li tp,0
|
||||
2cc: 00f00093 li ra,15
|
||||
2d0: 00b00113 li sp,11
|
||||
000002c4 <test_25>:
|
||||
2c4: 00000213 li tp,0
|
||||
2c8: 00f00093 li ra,15
|
||||
2cc: 00b00113 li sp,11
|
||||
2d0: 00000013 nop
|
||||
2d4: 00000013 nop
|
||||
2d8: 00000013 nop
|
||||
2dc: 00208f33 add t5,ra,sp
|
||||
2e0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2e4: 00200293 li t0,2
|
||||
2e8: fe5212e3 bne tp,t0,2cc <test_25+0x4>
|
||||
2ec: 01a00e93 li t4,26
|
||||
2f0: 01900193 li gp,25
|
||||
2f4: 1fdf1a63 bne t5,t4,4e8 <fail>
|
||||
2d8: 00208f33 add t5,ra,sp
|
||||
2dc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2e0: 00200293 li t0,2
|
||||
2e4: fe5212e3 bne tp,t0,2c8 <test_25+0x4>
|
||||
2e8: 01a00e93 li t4,26
|
||||
2ec: 01900193 li gp,25
|
||||
2f0: 1fdf1a63 bne t5,t4,4e4 <fail>
|
||||
|
||||
000002f8 <test_26>:
|
||||
2f8: 00000213 li tp,0
|
||||
2fc: 00d00093 li ra,13
|
||||
300: 00000013 nop
|
||||
304: 00b00113 li sp,11
|
||||
308: 00208f33 add t5,ra,sp
|
||||
30c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
310: 00200293 li t0,2
|
||||
314: fe5214e3 bne tp,t0,2fc <test_26+0x4>
|
||||
318: 01800e93 li t4,24
|
||||
31c: 01a00193 li gp,26
|
||||
320: 1ddf1463 bne t5,t4,4e8 <fail>
|
||||
000002f4 <test_26>:
|
||||
2f4: 00000213 li tp,0
|
||||
2f8: 00d00093 li ra,13
|
||||
2fc: 00000013 nop
|
||||
300: 00b00113 li sp,11
|
||||
304: 00208f33 add t5,ra,sp
|
||||
308: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
30c: 00200293 li t0,2
|
||||
310: fe5214e3 bne tp,t0,2f8 <test_26+0x4>
|
||||
314: 01800e93 li t4,24
|
||||
318: 01a00193 li gp,26
|
||||
31c: 1ddf1463 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000324 <test_27>:
|
||||
324: 00000213 li tp,0
|
||||
328: 00e00093 li ra,14
|
||||
32c: 00000013 nop
|
||||
330: 00b00113 li sp,11
|
||||
334: 00000013 nop
|
||||
338: 00208f33 add t5,ra,sp
|
||||
33c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
340: 00200293 li t0,2
|
||||
344: fe5212e3 bne tp,t0,328 <test_27+0x4>
|
||||
348: 01900e93 li t4,25
|
||||
34c: 01b00193 li gp,27
|
||||
350: 19df1c63 bne t5,t4,4e8 <fail>
|
||||
00000320 <test_27>:
|
||||
320: 00000213 li tp,0
|
||||
324: 00e00093 li ra,14
|
||||
328: 00000013 nop
|
||||
32c: 00b00113 li sp,11
|
||||
330: 00000013 nop
|
||||
334: 00208f33 add t5,ra,sp
|
||||
338: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
33c: 00200293 li t0,2
|
||||
340: fe5212e3 bne tp,t0,324 <test_27+0x4>
|
||||
344: 01900e93 li t4,25
|
||||
348: 01b00193 li gp,27
|
||||
34c: 19df1c63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000354 <test_28>:
|
||||
354: 00000213 li tp,0
|
||||
358: 00f00093 li ra,15
|
||||
00000350 <test_28>:
|
||||
350: 00000213 li tp,0
|
||||
354: 00f00093 li ra,15
|
||||
358: 00000013 nop
|
||||
35c: 00000013 nop
|
||||
360: 00000013 nop
|
||||
364: 00b00113 li sp,11
|
||||
368: 00208f33 add t5,ra,sp
|
||||
36c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
370: 00200293 li t0,2
|
||||
374: fe5212e3 bne tp,t0,358 <test_28+0x4>
|
||||
378: 01a00e93 li t4,26
|
||||
37c: 01c00193 li gp,28
|
||||
380: 17df1463 bne t5,t4,4e8 <fail>
|
||||
360: 00b00113 li sp,11
|
||||
364: 00208f33 add t5,ra,sp
|
||||
368: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
36c: 00200293 li t0,2
|
||||
370: fe5212e3 bne tp,t0,354 <test_28+0x4>
|
||||
374: 01a00e93 li t4,26
|
||||
378: 01c00193 li gp,28
|
||||
37c: 17df1463 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000384 <test_29>:
|
||||
384: 00000213 li tp,0
|
||||
388: 00b00113 li sp,11
|
||||
38c: 00d00093 li ra,13
|
||||
390: 00208f33 add t5,ra,sp
|
||||
394: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
398: 00200293 li t0,2
|
||||
39c: fe5216e3 bne tp,t0,388 <test_29+0x4>
|
||||
3a0: 01800e93 li t4,24
|
||||
3a4: 01d00193 li gp,29
|
||||
3a8: 15df1063 bne t5,t4,4e8 <fail>
|
||||
00000380 <test_29>:
|
||||
380: 00000213 li tp,0
|
||||
384: 00b00113 li sp,11
|
||||
388: 00d00093 li ra,13
|
||||
38c: 00208f33 add t5,ra,sp
|
||||
390: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
394: 00200293 li t0,2
|
||||
398: fe5216e3 bne tp,t0,384 <test_29+0x4>
|
||||
39c: 01800e93 li t4,24
|
||||
3a0: 01d00193 li gp,29
|
||||
3a4: 15df1063 bne t5,t4,4e4 <fail>
|
||||
|
||||
000003ac <test_30>:
|
||||
3ac: 00000213 li tp,0
|
||||
3b0: 00b00113 li sp,11
|
||||
3b4: 00e00093 li ra,14
|
||||
3b8: 00000013 nop
|
||||
3bc: 00208f33 add t5,ra,sp
|
||||
3c0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
3c4: 00200293 li t0,2
|
||||
3c8: fe5214e3 bne tp,t0,3b0 <test_30+0x4>
|
||||
3cc: 01900e93 li t4,25
|
||||
3d0: 01e00193 li gp,30
|
||||
3d4: 11df1a63 bne t5,t4,4e8 <fail>
|
||||
000003a8 <test_30>:
|
||||
3a8: 00000213 li tp,0
|
||||
3ac: 00b00113 li sp,11
|
||||
3b0: 00e00093 li ra,14
|
||||
3b4: 00000013 nop
|
||||
3b8: 00208f33 add t5,ra,sp
|
||||
3bc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
3c0: 00200293 li t0,2
|
||||
3c4: fe5214e3 bne tp,t0,3ac <test_30+0x4>
|
||||
3c8: 01900e93 li t4,25
|
||||
3cc: 01e00193 li gp,30
|
||||
3d0: 11df1a63 bne t5,t4,4e4 <fail>
|
||||
|
||||
000003d8 <test_31>:
|
||||
3d8: 00000213 li tp,0
|
||||
3dc: 00b00113 li sp,11
|
||||
3e0: 00f00093 li ra,15
|
||||
000003d4 <test_31>:
|
||||
3d4: 00000213 li tp,0
|
||||
3d8: 00b00113 li sp,11
|
||||
3dc: 00f00093 li ra,15
|
||||
3e0: 00000013 nop
|
||||
3e4: 00000013 nop
|
||||
3e8: 00000013 nop
|
||||
3ec: 00208f33 add t5,ra,sp
|
||||
3f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
3f4: 00200293 li t0,2
|
||||
3f8: fe5212e3 bne tp,t0,3dc <test_31+0x4>
|
||||
3fc: 01a00e93 li t4,26
|
||||
400: 01f00193 li gp,31
|
||||
404: 0fdf1263 bne t5,t4,4e8 <fail>
|
||||
3e8: 00208f33 add t5,ra,sp
|
||||
3ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
3f0: 00200293 li t0,2
|
||||
3f4: fe5212e3 bne tp,t0,3d8 <test_31+0x4>
|
||||
3f8: 01a00e93 li t4,26
|
||||
3fc: 01f00193 li gp,31
|
||||
400: 0fdf1263 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000408 <test_32>:
|
||||
408: 00000213 li tp,0
|
||||
40c: 00b00113 li sp,11
|
||||
410: 00000013 nop
|
||||
414: 00d00093 li ra,13
|
||||
418: 00208f33 add t5,ra,sp
|
||||
41c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
420: 00200293 li t0,2
|
||||
424: fe5214e3 bne tp,t0,40c <test_32+0x4>
|
||||
428: 01800e93 li t4,24
|
||||
42c: 02000193 li gp,32
|
||||
430: 0bdf1c63 bne t5,t4,4e8 <fail>
|
||||
00000404 <test_32>:
|
||||
404: 00000213 li tp,0
|
||||
408: 00b00113 li sp,11
|
||||
40c: 00000013 nop
|
||||
410: 00d00093 li ra,13
|
||||
414: 00208f33 add t5,ra,sp
|
||||
418: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
41c: 00200293 li t0,2
|
||||
420: fe5214e3 bne tp,t0,408 <test_32+0x4>
|
||||
424: 01800e93 li t4,24
|
||||
428: 02000193 li gp,32
|
||||
42c: 0bdf1c63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000434 <test_33>:
|
||||
434: 00000213 li tp,0
|
||||
438: 00b00113 li sp,11
|
||||
43c: 00000013 nop
|
||||
440: 00e00093 li ra,14
|
||||
444: 00000013 nop
|
||||
448: 00208f33 add t5,ra,sp
|
||||
44c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
450: 00200293 li t0,2
|
||||
454: fe5212e3 bne tp,t0,438 <test_33+0x4>
|
||||
458: 01900e93 li t4,25
|
||||
45c: 02100193 li gp,33
|
||||
460: 09df1463 bne t5,t4,4e8 <fail>
|
||||
00000430 <test_33>:
|
||||
430: 00000213 li tp,0
|
||||
434: 00b00113 li sp,11
|
||||
438: 00000013 nop
|
||||
43c: 00e00093 li ra,14
|
||||
440: 00000013 nop
|
||||
444: 00208f33 add t5,ra,sp
|
||||
448: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
44c: 00200293 li t0,2
|
||||
450: fe5212e3 bne tp,t0,434 <test_33+0x4>
|
||||
454: 01900e93 li t4,25
|
||||
458: 02100193 li gp,33
|
||||
45c: 09df1463 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000464 <test_34>:
|
||||
464: 00000213 li tp,0
|
||||
468: 00b00113 li sp,11
|
||||
00000460 <test_34>:
|
||||
460: 00000213 li tp,0
|
||||
464: 00b00113 li sp,11
|
||||
468: 00000013 nop
|
||||
46c: 00000013 nop
|
||||
470: 00000013 nop
|
||||
474: 00f00093 li ra,15
|
||||
478: 00208f33 add t5,ra,sp
|
||||
47c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
480: 00200293 li t0,2
|
||||
484: fe5212e3 bne tp,t0,468 <test_34+0x4>
|
||||
488: 01a00e93 li t4,26
|
||||
48c: 02200193 li gp,34
|
||||
490: 05df1c63 bne t5,t4,4e8 <fail>
|
||||
470: 00f00093 li ra,15
|
||||
474: 00208f33 add t5,ra,sp
|
||||
478: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
47c: 00200293 li t0,2
|
||||
480: fe5212e3 bne tp,t0,464 <test_34+0x4>
|
||||
484: 01a00e93 li t4,26
|
||||
488: 02200193 li gp,34
|
||||
48c: 05df1c63 bne t5,t4,4e4 <fail>
|
||||
|
||||
00000494 <test_35>:
|
||||
494: 00f00093 li ra,15
|
||||
498: 00100133 add sp,zero,ra
|
||||
49c: 00f00e93 li t4,15
|
||||
4a0: 02300193 li gp,35
|
||||
4a4: 05d11263 bne sp,t4,4e8 <fail>
|
||||
00000490 <test_35>:
|
||||
490: 00f00093 li ra,15
|
||||
494: 00100133 add sp,zero,ra
|
||||
498: 00f00e93 li t4,15
|
||||
49c: 02300193 li gp,35
|
||||
4a0: 05d11263 bne sp,t4,4e4 <fail>
|
||||
|
||||
000004a8 <test_36>:
|
||||
4a8: 02000093 li ra,32
|
||||
4ac: 00008133 add sp,ra,zero
|
||||
4b0: 02000e93 li t4,32
|
||||
4b4: 02400193 li gp,36
|
||||
4b8: 03d11863 bne sp,t4,4e8 <fail>
|
||||
000004a4 <test_36>:
|
||||
4a4: 02000093 li ra,32
|
||||
4a8: 00008133 add sp,ra,zero
|
||||
4ac: 02000e93 li t4,32
|
||||
4b0: 02400193 li gp,36
|
||||
4b4: 03d11863 bne sp,t4,4e4 <fail>
|
||||
|
||||
000004bc <test_37>:
|
||||
4bc: 000000b3 add ra,zero,zero
|
||||
4c0: 00000e93 li t4,0
|
||||
4c4: 02500193 li gp,37
|
||||
4c8: 03d09063 bne ra,t4,4e8 <fail>
|
||||
000004b8 <test_37>:
|
||||
4b8: 000000b3 add ra,zero,zero
|
||||
4bc: 00000e93 li t4,0
|
||||
4c0: 02500193 li gp,37
|
||||
4c4: 03d09063 bne ra,t4,4e4 <fail>
|
||||
|
||||
000004cc <test_38>:
|
||||
4cc: 01000093 li ra,16
|
||||
4d0: 01e00113 li sp,30
|
||||
4d4: 00208033 add zero,ra,sp
|
||||
4d8: 00000e93 li t4,0
|
||||
4dc: 02600193 li gp,38
|
||||
4e0: 01d01463 bne zero,t4,4e8 <fail>
|
||||
4e4: 00301863 bne zero,gp,4f4 <pass>
|
||||
000004c8 <test_38>:
|
||||
4c8: 01000093 li ra,16
|
||||
4cc: 01e00113 li sp,30
|
||||
4d0: 00208033 add zero,ra,sp
|
||||
4d4: 00000e93 li t4,0
|
||||
4d8: 02600193 li gp,38
|
||||
4dc: 01d01463 bne zero,t4,4e4 <fail>
|
||||
4e0: 00301663 bne zero,gp,4ec <pass>
|
||||
|
||||
000004e8 <fail>:
|
||||
4e8: 00000d93 li s11,0
|
||||
4ec: 00100d13 li s10,1
|
||||
000004e4 <fail>:
|
||||
4e4: 1000d073 csrwi sstatus,1
|
||||
|
||||
000004f0 <loop_fail>:
|
||||
4f0: 0000006f j 4f0 <loop_fail>
|
||||
000004e8 <loop_fail>:
|
||||
4e8: 0000006f j 4e8 <loop_fail>
|
||||
|
||||
000004f4 <pass>:
|
||||
4f4: 00100d93 li s11,1
|
||||
4f8: 00100d13 li s10,1
|
||||
000004ec <pass>:
|
||||
4ec: 1001d073 csrwi sstatus,3
|
||||
|
||||
000004fc <loop_pass>:
|
||||
4fc: 0000006f j 4fc <loop_pass>
|
||||
500: 0000 unimp
|
||||
000004f0 <loop_pass>:
|
||||
4f0: 0000006f j 4f0 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -421,14 +417,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00000093
|
||||
00000113
|
||||
00208f33
|
||||
|
@ -311,12 +310,10 @@ fe5212e3
|
|||
00000e93
|
||||
02600193
|
||||
01d01463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -352,3 +349,6 @@ fe5212e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,84 +1,84 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00
|
||||
33 8F 20 00 93 0E 00 00 93 01 20 00 63 16 DF 4D
|
||||
93 00 10 00 13 01 10 00 33 8F 20 00 93 0E 20 00
|
||||
93 01 30 00 63 1A DF 4B 93 00 30 00 13 01 70 00
|
||||
33 8F 20 00 93 0E A0 00 93 01 40 00 63 1E DF 49
|
||||
93 00 00 00 37 81 FF FF 33 8F 20 00 B7 8E FF FF
|
||||
93 01 50 00 63 12 DF 49 B7 00 00 80 13 01 00 00
|
||||
33 8F 20 00 B7 0E 00 80 93 01 60 00 63 16 DF 47
|
||||
B7 00 00 80 37 81 FF FF 33 8F 20 00 B7 8E FF 7F
|
||||
93 01 70 00 63 1A DF 45 93 00 00 00 37 81 00 00
|
||||
13 01 F1 FF 33 8F 20 00 B7 8E 00 00 93 8E FE FF
|
||||
93 01 80 00 63 1A DF 43 B7 00 00 80 93 80 F0 FF
|
||||
13 01 00 00 33 8F 20 00 B7 0E 00 80 93 8E FE FF
|
||||
93 01 90 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF
|
||||
37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80
|
||||
93 8E EE FF 93 01 A0 00 63 18 DF 3F B7 00 00 80
|
||||
37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80
|
||||
93 8E FE FF 93 01 B0 00 63 18 DF 3D B7 00 00 80
|
||||
93 80 F0 FF 37 81 FF FF 33 8F 20 00 B7 8E FF 7F
|
||||
93 8E FE FF 93 01 C0 00 63 18 DF 3B 93 00 00 00
|
||||
13 01 F0 FF 33 8F 20 00 93 0E F0 FF 93 01 D0 00
|
||||
63 1C DF 39 93 00 F0 FF 13 01 10 00 33 8F 20 00
|
||||
93 0E 00 00 93 01 E0 00 63 10 DF 39 93 00 F0 FF
|
||||
13 01 F0 FF 33 8F 20 00 93 0E E0 FF 93 01 F0 00
|
||||
63 14 DF 37 93 00 10 00 37 01 00 80 13 01 F1 FF
|
||||
33 8F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 35
|
||||
93 00 D0 00 13 01 B0 00 B3 80 20 00 93 0E 80 01
|
||||
93 01 10 01 63 9A D0 33 93 00 E0 00 13 01 B0 00
|
||||
33 81 20 00 93 0E 90 01 93 01 20 01 63 1E D1 31
|
||||
93 00 D0 00 B3 80 10 00 93 0E A0 01 93 01 30 01
|
||||
63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00
|
||||
33 8F 20 00 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 0E 80 01 93 01 40 01 63 1E D3 2D
|
||||
13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 00
|
||||
73 50 00 10 93 00 00 00 13 01 00 00 33 8F 20 00
|
||||
93 0E 00 00 93 01 20 00 63 16 DF 4D 93 00 10 00
|
||||
13 01 10 00 33 8F 20 00 93 0E 20 00 93 01 30 00
|
||||
63 1A DF 4B 93 00 30 00 13 01 70 00 33 8F 20 00
|
||||
93 0E A0 00 93 01 40 00 63 1E DF 49 93 00 00 00
|
||||
37 81 FF FF 33 8F 20 00 B7 8E FF FF 93 01 50 00
|
||||
63 12 DF 49 B7 00 00 80 13 01 00 00 33 8F 20 00
|
||||
B7 0E 00 80 93 01 60 00 63 16 DF 47 B7 00 00 80
|
||||
37 81 FF FF 33 8F 20 00 B7 8E FF 7F 93 01 70 00
|
||||
63 1A DF 45 93 00 00 00 37 81 00 00 13 01 F1 FF
|
||||
33 8F 20 00 B7 8E 00 00 93 8E FE FF 93 01 80 00
|
||||
63 1A DF 43 B7 00 00 80 93 80 F0 FF 13 01 00 00
|
||||
33 8F 20 00 B7 0E 00 80 93 8E FE FF 93 01 90 00
|
||||
63 1A DF 41 B7 00 00 80 93 80 F0 FF 37 81 00 00
|
||||
13 01 F1 FF 33 8F 20 00 B7 8E 00 80 93 8E EE FF
|
||||
93 01 A0 00 63 18 DF 3F B7 00 00 80 37 81 00 00
|
||||
13 01 F1 FF 33 8F 20 00 B7 8E 00 80 93 8E FE FF
|
||||
93 01 B0 00 63 18 DF 3D B7 00 00 80 93 80 F0 FF
|
||||
37 81 FF FF 33 8F 20 00 B7 8E FF 7F 93 8E FE FF
|
||||
93 01 C0 00 63 18 DF 3B 93 00 00 00 13 01 F0 FF
|
||||
33 8F 20 00 93 0E F0 FF 93 01 D0 00 63 1C DF 39
|
||||
93 00 F0 FF 13 01 10 00 33 8F 20 00 93 0E 00 00
|
||||
93 01 E0 00 63 10 DF 39 93 00 F0 FF 13 01 F0 FF
|
||||
33 8F 20 00 93 0E E0 FF 93 01 F0 00 63 14 DF 37
|
||||
93 00 10 00 37 01 00 80 13 01 F1 FF 33 8F 20 00
|
||||
B7 0E 00 80 93 01 00 01 63 16 DF 35 93 00 D0 00
|
||||
13 01 B0 00 B3 80 20 00 93 0E 80 01 93 01 10 01
|
||||
63 9A D0 33 93 00 E0 00 13 01 B0 00 33 81 20 00
|
||||
93 0E 90 01 93 01 20 01 63 1E D1 31 93 00 D0 00
|
||||
B3 80 10 00 93 0E A0 01 93 01 30 01 63 94 D0 31
|
||||
13 02 00 00 93 00 D0 00 13 01 B0 00 33 8F 20 00
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 0E 80 01 93 01 40 01 63 1E D3 2D 13 02 00 00
|
||||
93 00 E0 00 13 01 B0 00 33 8F 20 00 13 00 00 00
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 0E 90 01 93 01 50 01 63 16 D3 2B 13 02 00 00
|
||||
93 00 F0 00 13 01 B0 00 33 8F 20 00 13 00 00 00
|
||||
13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E 90 01 93 01 50 01 63 16 D3 2B
|
||||
13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 00
|
||||
13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 0E A0 01 93 01 60 01
|
||||
63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00
|
||||
33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE
|
||||
93 0E 80 01 93 01 70 01 63 18 DF 25 13 02 00 00
|
||||
93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01
|
||||
93 01 80 01 63 12 DF 23 13 02 00 00 93 00 F0 00
|
||||
13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 00
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01
|
||||
93 01 90 01 63 1A DF 1F 13 02 00 00 93 00 D0 00
|
||||
13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 A0 01
|
||||
63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00
|
||||
E3 10 52 FE 93 0E A0 01 93 01 60 01 63 1C D3 27
|
||||
13 02 00 00 93 00 D0 00 13 01 B0 00 33 8F 20 00
|
||||
13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 80 01
|
||||
93 01 70 01 63 18 DF 25 13 02 00 00 93 00 E0 00
|
||||
13 01 B0 00 13 00 00 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 B0 01
|
||||
63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00
|
||||
13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 C0 01
|
||||
63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00
|
||||
33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE
|
||||
93 0E 80 01 93 01 D0 01 63 10 DF 15 13 02 00 00
|
||||
13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01
|
||||
93 01 E0 01 63 1A DF 11 13 02 00 00 13 01 B0 00
|
||||
93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 00
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01
|
||||
93 01 F0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00
|
||||
13 00 00 00 93 00 D0 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 00 02
|
||||
63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00
|
||||
93 02 20 00 E3 14 52 FE 93 0E 90 01 93 01 80 01
|
||||
63 12 DF 23 13 02 00 00 93 00 F0 00 13 01 B0 00
|
||||
13 00 00 00 13 00 00 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 90 01
|
||||
63 1A DF 1F 13 02 00 00 93 00 D0 00 13 00 00 00
|
||||
13 01 B0 00 33 8F 20 00 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 0E 80 01 93 01 A0 01 63 14 DF 1D
|
||||
13 02 00 00 93 00 E0 00 13 00 00 00 13 01 B0 00
|
||||
13 00 00 00 33 8F 20 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E 90 01 93 01 B0 01 63 1C DF 19
|
||||
13 02 00 00 93 00 F0 00 13 00 00 00 13 00 00 00
|
||||
13 01 B0 00 33 8F 20 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E A0 01 93 01 C0 01 63 14 DF 17
|
||||
13 02 00 00 13 01 B0 00 93 00 D0 00 33 8F 20 00
|
||||
13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 80 01
|
||||
93 01 D0 01 63 10 DF 15 13 02 00 00 13 01 B0 00
|
||||
93 00 E0 00 13 00 00 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 10 02
|
||||
63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00
|
||||
13 00 00 00 93 00 F0 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 20 02
|
||||
63 1C DF 05 93 00 F0 00 33 01 10 00 93 0E F0 00
|
||||
93 01 30 02 63 12 D1 05 93 00 00 02 33 81 00 00
|
||||
93 0E 00 02 93 01 40 02 63 18 D1 03 B3 00 00 00
|
||||
93 0E 00 00 93 01 50 02 63 90 D0 03 93 00 00 01
|
||||
13 01 E0 01 33 80 20 00 93 0E 00 00 93 01 60 02
|
||||
63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
93 02 20 00 E3 14 52 FE 93 0E 90 01 93 01 E0 01
|
||||
63 1A DF 11 13 02 00 00 13 01 B0 00 93 00 F0 00
|
||||
13 00 00 00 13 00 00 00 33 8F 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 F0 01
|
||||
63 12 DF 0F 13 02 00 00 13 01 B0 00 13 00 00 00
|
||||
93 00 D0 00 33 8F 20 00 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 0E 80 01 93 01 00 02 63 1C DF 0B
|
||||
13 02 00 00 13 01 B0 00 13 00 00 00 93 00 E0 00
|
||||
13 00 00 00 33 8F 20 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E 90 01 93 01 10 02 63 14 DF 09
|
||||
13 02 00 00 13 01 B0 00 13 00 00 00 13 00 00 00
|
||||
93 00 F0 00 33 8F 20 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E A0 01 93 01 20 02 63 1C DF 05
|
||||
93 00 F0 00 33 01 10 00 93 0E F0 00 93 01 30 02
|
||||
63 12 D1 05 93 00 00 02 33 81 00 00 93 0E 00 02
|
||||
93 01 40 02 63 18 D1 03 B3 00 00 00 93 0E 00 00
|
||||
93 01 50 02 63 90 D0 03 93 00 00 01 13 01 E0 01
|
||||
33 80 20 00 93 0E 00 00 93 01 60 02 63 14 D0 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00000540
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,232 +5,229 @@ generated/rv32ui-p-addi: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00000093 li ra,0
|
||||
c: 00008f13 mv t5,ra
|
||||
10: 00000e93 li t4,0
|
||||
14: 00200193 li gp,2
|
||||
18: 27df1c63 bne t5,t4,290 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00000093 li ra,0
|
||||
8: 00008f13 mv t5,ra
|
||||
c: 00000e93 li t4,0
|
||||
10: 00200193 li gp,2
|
||||
14: 27df1c63 bne t5,t4,28c <fail>
|
||||
|
||||
0000001c <test_3>:
|
||||
1c: 00100093 li ra,1
|
||||
20: 00108f13 addi t5,ra,1
|
||||
24: 00200e93 li t4,2
|
||||
28: 00300193 li gp,3
|
||||
2c: 27df1263 bne t5,t4,290 <fail>
|
||||
00000018 <test_3>:
|
||||
18: 00100093 li ra,1
|
||||
1c: 00108f13 addi t5,ra,1
|
||||
20: 00200e93 li t4,2
|
||||
24: 00300193 li gp,3
|
||||
28: 27df1263 bne t5,t4,28c <fail>
|
||||
|
||||
00000030 <test_4>:
|
||||
30: 00300093 li ra,3
|
||||
34: 00708f13 addi t5,ra,7
|
||||
38: 00a00e93 li t4,10
|
||||
3c: 00400193 li gp,4
|
||||
40: 25df1863 bne t5,t4,290 <fail>
|
||||
0000002c <test_4>:
|
||||
2c: 00300093 li ra,3
|
||||
30: 00708f13 addi t5,ra,7
|
||||
34: 00a00e93 li t4,10
|
||||
38: 00400193 li gp,4
|
||||
3c: 25df1863 bne t5,t4,28c <fail>
|
||||
|
||||
00000044 <test_5>:
|
||||
44: 00000093 li ra,0
|
||||
48: 80008f13 addi t5,ra,-2048
|
||||
4c: 80000e93 li t4,-2048
|
||||
50: 00500193 li gp,5
|
||||
54: 23df1e63 bne t5,t4,290 <fail>
|
||||
00000040 <test_5>:
|
||||
40: 00000093 li ra,0
|
||||
44: 80008f13 addi t5,ra,-2048
|
||||
48: 80000e93 li t4,-2048
|
||||
4c: 00500193 li gp,5
|
||||
50: 23df1e63 bne t5,t4,28c <fail>
|
||||
|
||||
00000058 <test_6>:
|
||||
58: 800000b7 lui ra,0x80000
|
||||
5c: 00008f13 mv t5,ra
|
||||
60: 80000eb7 lui t4,0x80000
|
||||
64: 00600193 li gp,6
|
||||
68: 23df1463 bne t5,t4,290 <fail>
|
||||
00000054 <test_6>:
|
||||
54: 800000b7 lui ra,0x80000
|
||||
58: 00008f13 mv t5,ra
|
||||
5c: 80000eb7 lui t4,0x80000
|
||||
60: 00600193 li gp,6
|
||||
64: 23df1463 bne t5,t4,28c <fail>
|
||||
|
||||
0000006c <test_7>:
|
||||
6c: 800000b7 lui ra,0x80000
|
||||
70: 80008f13 addi t5,ra,-2048 # 7ffff800 <begin_signature+0x7fffe800>
|
||||
74: 80000eb7 lui t4,0x80000
|
||||
78: 800e8e93 addi t4,t4,-2048 # 7ffff800 <begin_signature+0x7fffe800>
|
||||
7c: 00700193 li gp,7
|
||||
80: 21df1863 bne t5,t4,290 <fail>
|
||||
00000068 <test_7>:
|
||||
68: 800000b7 lui ra,0x80000
|
||||
6c: 80008f13 addi t5,ra,-2048 # 7ffff800 <begin_signature+0x7fffe800>
|
||||
70: 80000eb7 lui t4,0x80000
|
||||
74: 800e8e93 addi t4,t4,-2048 # 7ffff800 <begin_signature+0x7fffe800>
|
||||
78: 00700193 li gp,7
|
||||
7c: 21df1863 bne t5,t4,28c <fail>
|
||||
|
||||
00000084 <test_8>:
|
||||
84: 00000093 li ra,0
|
||||
88: 7ff08f13 addi t5,ra,2047
|
||||
8c: 7ff00e93 li t4,2047
|
||||
90: 00800193 li gp,8
|
||||
94: 1fdf1e63 bne t5,t4,290 <fail>
|
||||
00000080 <test_8>:
|
||||
80: 00000093 li ra,0
|
||||
84: 7ff08f13 addi t5,ra,2047
|
||||
88: 7ff00e93 li t4,2047
|
||||
8c: 00800193 li gp,8
|
||||
90: 1fdf1e63 bne t5,t4,28c <fail>
|
||||
|
||||
00000098 <test_9>:
|
||||
98: 800000b7 lui ra,0x80000
|
||||
9c: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
a0: 00008f13 mv t5,ra
|
||||
a4: 80000eb7 lui t4,0x80000
|
||||
a8: fffe8e93 addi t4,t4,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
ac: 00900193 li gp,9
|
||||
b0: 1fdf1063 bne t5,t4,290 <fail>
|
||||
00000094 <test_9>:
|
||||
94: 800000b7 lui ra,0x80000
|
||||
98: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
9c: 00008f13 mv t5,ra
|
||||
a0: 80000eb7 lui t4,0x80000
|
||||
a4: fffe8e93 addi t4,t4,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
a8: 00900193 li gp,9
|
||||
ac: 1fdf1063 bne t5,t4,28c <fail>
|
||||
|
||||
000000b4 <test_10>:
|
||||
b4: 800000b7 lui ra,0x80000
|
||||
b8: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
bc: 7ff08f13 addi t5,ra,2047
|
||||
c0: 80000eb7 lui t4,0x80000
|
||||
c4: 7fee8e93 addi t4,t4,2046 # 800007fe <begin_signature+0x7ffff7fe>
|
||||
c8: 00a00193 li gp,10
|
||||
cc: 1ddf1263 bne t5,t4,290 <fail>
|
||||
000000b0 <test_10>:
|
||||
b0: 800000b7 lui ra,0x80000
|
||||
b4: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
b8: 7ff08f13 addi t5,ra,2047
|
||||
bc: 80000eb7 lui t4,0x80000
|
||||
c0: 7fee8e93 addi t4,t4,2046 # 800007fe <begin_signature+0x7ffff7fe>
|
||||
c4: 00a00193 li gp,10
|
||||
c8: 1ddf1263 bne t5,t4,28c <fail>
|
||||
|
||||
000000d0 <test_11>:
|
||||
d0: 800000b7 lui ra,0x80000
|
||||
d4: 7ff08f13 addi t5,ra,2047 # 800007ff <begin_signature+0x7ffff7ff>
|
||||
d8: 80000eb7 lui t4,0x80000
|
||||
dc: 7ffe8e93 addi t4,t4,2047 # 800007ff <begin_signature+0x7ffff7ff>
|
||||
e0: 00b00193 li gp,11
|
||||
e4: 1bdf1663 bne t5,t4,290 <fail>
|
||||
000000cc <test_11>:
|
||||
cc: 800000b7 lui ra,0x80000
|
||||
d0: 7ff08f13 addi t5,ra,2047 # 800007ff <begin_signature+0x7ffff7ff>
|
||||
d4: 80000eb7 lui t4,0x80000
|
||||
d8: 7ffe8e93 addi t4,t4,2047 # 800007ff <begin_signature+0x7ffff7ff>
|
||||
dc: 00b00193 li gp,11
|
||||
e0: 1bdf1663 bne t5,t4,28c <fail>
|
||||
|
||||
000000e8 <test_12>:
|
||||
e8: 800000b7 lui ra,0x80000
|
||||
ec: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
f0: 80008f13 addi t5,ra,-2048
|
||||
f4: 7ffffeb7 lui t4,0x7ffff
|
||||
f8: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <begin_signature+0x7fffe7ff>
|
||||
fc: 00c00193 li gp,12
|
||||
100: 19df1863 bne t5,t4,290 <fail>
|
||||
000000e4 <test_12>:
|
||||
e4: 800000b7 lui ra,0x80000
|
||||
e8: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
ec: 80008f13 addi t5,ra,-2048
|
||||
f0: 7ffffeb7 lui t4,0x7ffff
|
||||
f4: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <begin_signature+0x7fffe7ff>
|
||||
f8: 00c00193 li gp,12
|
||||
fc: 19df1863 bne t5,t4,28c <fail>
|
||||
|
||||
00000104 <test_13>:
|
||||
104: 00000093 li ra,0
|
||||
108: fff08f13 addi t5,ra,-1
|
||||
10c: fff00e93 li t4,-1
|
||||
110: 00d00193 li gp,13
|
||||
114: 17df1e63 bne t5,t4,290 <fail>
|
||||
00000100 <test_13>:
|
||||
100: 00000093 li ra,0
|
||||
104: fff08f13 addi t5,ra,-1
|
||||
108: fff00e93 li t4,-1
|
||||
10c: 00d00193 li gp,13
|
||||
110: 17df1e63 bne t5,t4,28c <fail>
|
||||
|
||||
00000118 <test_14>:
|
||||
118: fff00093 li ra,-1
|
||||
11c: 00108f13 addi t5,ra,1
|
||||
120: 00000e93 li t4,0
|
||||
124: 00e00193 li gp,14
|
||||
128: 17df1463 bne t5,t4,290 <fail>
|
||||
00000114 <test_14>:
|
||||
114: fff00093 li ra,-1
|
||||
118: 00108f13 addi t5,ra,1
|
||||
11c: 00000e93 li t4,0
|
||||
120: 00e00193 li gp,14
|
||||
124: 17df1463 bne t5,t4,28c <fail>
|
||||
|
||||
0000012c <test_15>:
|
||||
12c: fff00093 li ra,-1
|
||||
130: fff08f13 addi t5,ra,-1
|
||||
134: ffe00e93 li t4,-2
|
||||
138: 00f00193 li gp,15
|
||||
13c: 15df1a63 bne t5,t4,290 <fail>
|
||||
00000128 <test_15>:
|
||||
128: fff00093 li ra,-1
|
||||
12c: fff08f13 addi t5,ra,-1
|
||||
130: ffe00e93 li t4,-2
|
||||
134: 00f00193 li gp,15
|
||||
138: 15df1a63 bne t5,t4,28c <fail>
|
||||
|
||||
00000140 <test_16>:
|
||||
140: 800000b7 lui ra,0x80000
|
||||
144: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
148: 00108f13 addi t5,ra,1
|
||||
14c: 80000eb7 lui t4,0x80000
|
||||
150: 01000193 li gp,16
|
||||
154: 13df1e63 bne t5,t4,290 <fail>
|
||||
0000013c <test_16>:
|
||||
13c: 800000b7 lui ra,0x80000
|
||||
140: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
144: 00108f13 addi t5,ra,1
|
||||
148: 80000eb7 lui t4,0x80000
|
||||
14c: 01000193 li gp,16
|
||||
150: 13df1e63 bne t5,t4,28c <fail>
|
||||
|
||||
00000158 <test_17>:
|
||||
158: 00d00093 li ra,13
|
||||
15c: 00b08093 addi ra,ra,11
|
||||
160: 01800e93 li t4,24
|
||||
164: 01100193 li gp,17
|
||||
168: 13d09463 bne ra,t4,290 <fail>
|
||||
00000154 <test_17>:
|
||||
154: 00d00093 li ra,13
|
||||
158: 00b08093 addi ra,ra,11
|
||||
15c: 01800e93 li t4,24
|
||||
160: 01100193 li gp,17
|
||||
164: 13d09463 bne ra,t4,28c <fail>
|
||||
|
||||
0000016c <test_18>:
|
||||
16c: 00000213 li tp,0
|
||||
170: 00d00093 li ra,13
|
||||
174: 00b08f13 addi t5,ra,11
|
||||
178: 000f0313 mv t1,t5
|
||||
17c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
180: 00200293 li t0,2
|
||||
184: fe5216e3 bne tp,t0,170 <test_18+0x4>
|
||||
188: 01800e93 li t4,24
|
||||
18c: 01200193 li gp,18
|
||||
190: 11d31063 bne t1,t4,290 <fail>
|
||||
00000168 <test_18>:
|
||||
168: 00000213 li tp,0
|
||||
16c: 00d00093 li ra,13
|
||||
170: 00b08f13 addi t5,ra,11
|
||||
174: 000f0313 mv t1,t5
|
||||
178: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
17c: 00200293 li t0,2
|
||||
180: fe5216e3 bne tp,t0,16c <test_18+0x4>
|
||||
184: 01800e93 li t4,24
|
||||
188: 01200193 li gp,18
|
||||
18c: 11d31063 bne t1,t4,28c <fail>
|
||||
|
||||
00000194 <test_19>:
|
||||
194: 00000213 li tp,0
|
||||
198: 00d00093 li ra,13
|
||||
19c: 00a08f13 addi t5,ra,10
|
||||
1a0: 00000013 nop
|
||||
1a4: 000f0313 mv t1,t5
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fe5214e3 bne tp,t0,198 <test_19+0x4>
|
||||
1b4: 01700e93 li t4,23
|
||||
1b8: 01300193 li gp,19
|
||||
1bc: 0dd31a63 bne t1,t4,290 <fail>
|
||||
00000190 <test_19>:
|
||||
190: 00000213 li tp,0
|
||||
194: 00d00093 li ra,13
|
||||
198: 00a08f13 addi t5,ra,10
|
||||
19c: 00000013 nop
|
||||
1a0: 000f0313 mv t1,t5
|
||||
1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1a8: 00200293 li t0,2
|
||||
1ac: fe5214e3 bne tp,t0,194 <test_19+0x4>
|
||||
1b0: 01700e93 li t4,23
|
||||
1b4: 01300193 li gp,19
|
||||
1b8: 0dd31a63 bne t1,t4,28c <fail>
|
||||
|
||||
000001c0 <test_20>:
|
||||
1c0: 00000213 li tp,0
|
||||
1c4: 00d00093 li ra,13
|
||||
1c8: 00908f13 addi t5,ra,9
|
||||
000001bc <test_20>:
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00d00093 li ra,13
|
||||
1c4: 00908f13 addi t5,ra,9
|
||||
1c8: 00000013 nop
|
||||
1cc: 00000013 nop
|
||||
1d0: 00000013 nop
|
||||
1d4: 000f0313 mv t1,t5
|
||||
1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1dc: 00200293 li t0,2
|
||||
1e0: fe5212e3 bne tp,t0,1c4 <test_20+0x4>
|
||||
1e4: 01600e93 li t4,22
|
||||
1e8: 01400193 li gp,20
|
||||
1ec: 0bd31263 bne t1,t4,290 <fail>
|
||||
1d0: 000f0313 mv t1,t5
|
||||
1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d8: 00200293 li t0,2
|
||||
1dc: fe5212e3 bne tp,t0,1c0 <test_20+0x4>
|
||||
1e0: 01600e93 li t4,22
|
||||
1e4: 01400193 li gp,20
|
||||
1e8: 0bd31263 bne t1,t4,28c <fail>
|
||||
|
||||
000001f0 <test_21>:
|
||||
1f0: 00000213 li tp,0
|
||||
1f4: 00d00093 li ra,13
|
||||
1f8: 00b08f13 addi t5,ra,11
|
||||
1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
200: 00200293 li t0,2
|
||||
204: fe5218e3 bne tp,t0,1f4 <test_21+0x4>
|
||||
208: 01800e93 li t4,24
|
||||
20c: 01500193 li gp,21
|
||||
210: 09df1063 bne t5,t4,290 <fail>
|
||||
000001ec <test_21>:
|
||||
1ec: 00000213 li tp,0
|
||||
1f0: 00d00093 li ra,13
|
||||
1f4: 00b08f13 addi t5,ra,11
|
||||
1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1fc: 00200293 li t0,2
|
||||
200: fe5218e3 bne tp,t0,1f0 <test_21+0x4>
|
||||
204: 01800e93 li t4,24
|
||||
208: 01500193 li gp,21
|
||||
20c: 09df1063 bne t5,t4,28c <fail>
|
||||
|
||||
00000214 <test_22>:
|
||||
214: 00000213 li tp,0
|
||||
218: 00d00093 li ra,13
|
||||
21c: 00000013 nop
|
||||
220: 00a08f13 addi t5,ra,10
|
||||
224: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
228: 00200293 li t0,2
|
||||
22c: fe5216e3 bne tp,t0,218 <test_22+0x4>
|
||||
230: 01700e93 li t4,23
|
||||
234: 01600193 li gp,22
|
||||
238: 05df1c63 bne t5,t4,290 <fail>
|
||||
00000210 <test_22>:
|
||||
210: 00000213 li tp,0
|
||||
214: 00d00093 li ra,13
|
||||
218: 00000013 nop
|
||||
21c: 00a08f13 addi t5,ra,10
|
||||
220: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
224: 00200293 li t0,2
|
||||
228: fe5216e3 bne tp,t0,214 <test_22+0x4>
|
||||
22c: 01700e93 li t4,23
|
||||
230: 01600193 li gp,22
|
||||
234: 05df1c63 bne t5,t4,28c <fail>
|
||||
|
||||
0000023c <test_23>:
|
||||
23c: 00000213 li tp,0
|
||||
240: 00d00093 li ra,13
|
||||
00000238 <test_23>:
|
||||
238: 00000213 li tp,0
|
||||
23c: 00d00093 li ra,13
|
||||
240: 00000013 nop
|
||||
244: 00000013 nop
|
||||
248: 00000013 nop
|
||||
24c: 00908f13 addi t5,ra,9
|
||||
250: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
254: 00200293 li t0,2
|
||||
258: fe5214e3 bne tp,t0,240 <test_23+0x4>
|
||||
25c: 01600e93 li t4,22
|
||||
260: 01700193 li gp,23
|
||||
264: 03df1663 bne t5,t4,290 <fail>
|
||||
248: 00908f13 addi t5,ra,9
|
||||
24c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
250: 00200293 li t0,2
|
||||
254: fe5214e3 bne tp,t0,23c <test_23+0x4>
|
||||
258: 01600e93 li t4,22
|
||||
25c: 01700193 li gp,23
|
||||
260: 03df1663 bne t5,t4,28c <fail>
|
||||
|
||||
00000268 <test_24>:
|
||||
268: 02000093 li ra,32
|
||||
26c: 02000e93 li t4,32
|
||||
270: 01800193 li gp,24
|
||||
274: 01d09e63 bne ra,t4,290 <fail>
|
||||
00000264 <test_24>:
|
||||
264: 02000093 li ra,32
|
||||
268: 02000e93 li t4,32
|
||||
26c: 01800193 li gp,24
|
||||
270: 01d09e63 bne ra,t4,28c <fail>
|
||||
|
||||
00000278 <test_25>:
|
||||
278: 02100093 li ra,33
|
||||
27c: 03208013 addi zero,ra,50
|
||||
280: 00000e93 li t4,0
|
||||
284: 01900193 li gp,25
|
||||
288: 01d01463 bne zero,t4,290 <fail>
|
||||
28c: 00301863 bne zero,gp,29c <pass>
|
||||
00000274 <test_25>:
|
||||
274: 02100093 li ra,33
|
||||
278: 03208013 addi zero,ra,50
|
||||
27c: 00000e93 li t4,0
|
||||
280: 01900193 li gp,25
|
||||
284: 01d01463 bne zero,t4,28c <fail>
|
||||
288: 00301663 bne zero,gp,294 <pass>
|
||||
|
||||
00000290 <fail>:
|
||||
290: 00000d93 li s11,0
|
||||
294: 00100d13 li s10,1
|
||||
0000028c <fail>:
|
||||
28c: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000298 <loop_fail>:
|
||||
298: 0000006f j 298 <loop_fail>
|
||||
00000290 <loop_fail>:
|
||||
290: 0000006f j 290 <loop_fail>
|
||||
|
||||
0000029c <pass>:
|
||||
29c: 00100d93 li s11,1
|
||||
2a0: 00100d13 li s10,1
|
||||
00000294 <pass>:
|
||||
294: 1001d073 csrwi sstatus,3
|
||||
|
||||
000002a4 <loop_pass>:
|
||||
2a4: 0000006f j 2a4 <loop_pass>
|
||||
00000298 <loop_pass>:
|
||||
298: 0000006f j 298 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -244,14 +241,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00000093
|
||||
00008f13
|
||||
00000e93
|
||||
|
@ -161,12 +160,10 @@ fe5214e3
|
|||
00000e93
|
||||
01900193
|
||||
01d01463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -208,3 +205,6 @@ fe5214e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,47 +1,47 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 00 00 00 13 8F 00 00
|
||||
93 0E 00 00 93 01 20 00 63 1C DF 27 93 00 10 00
|
||||
13 8F 10 00 93 0E 20 00 93 01 30 00 63 12 DF 27
|
||||
93 00 30 00 13 8F 70 00 93 0E A0 00 93 01 40 00
|
||||
63 18 DF 25 93 00 00 00 13 8F 00 80 93 0E 00 80
|
||||
93 01 50 00 63 1E DF 23 B7 00 00 80 13 8F 00 00
|
||||
B7 0E 00 80 93 01 60 00 63 14 DF 23 B7 00 00 80
|
||||
13 8F 00 80 B7 0E 00 80 93 8E 0E 80 93 01 70 00
|
||||
63 18 DF 21 93 00 00 00 13 8F F0 7F 93 0E F0 7F
|
||||
93 01 80 00 63 1E DF 1F B7 00 00 80 93 80 F0 FF
|
||||
13 8F 00 00 B7 0E 00 80 93 8E FE FF 93 01 90 00
|
||||
63 10 DF 1F B7 00 00 80 93 80 F0 FF 13 8F F0 7F
|
||||
B7 0E 00 80 93 8E EE 7F 93 01 A0 00 63 12 DF 1D
|
||||
B7 00 00 80 13 8F F0 7F B7 0E 00 80 93 8E FE 7F
|
||||
93 01 B0 00 63 16 DF 1B B7 00 00 80 93 80 F0 FF
|
||||
13 8F 00 80 B7 FE FF 7F 93 8E FE 7F 93 01 C0 00
|
||||
63 18 DF 19 93 00 00 00 13 8F F0 FF 93 0E F0 FF
|
||||
93 01 D0 00 63 1E DF 17 93 00 F0 FF 13 8F 10 00
|
||||
93 0E 00 00 93 01 E0 00 63 14 DF 17 93 00 F0 FF
|
||||
13 8F F0 FF 93 0E E0 FF 93 01 F0 00 63 1A DF 15
|
||||
B7 00 00 80 93 80 F0 FF 13 8F 10 00 B7 0E 00 80
|
||||
93 01 00 01 63 1E DF 13 93 00 D0 00 93 80 B0 00
|
||||
93 0E 80 01 93 01 10 01 63 94 D0 13 13 02 00 00
|
||||
93 00 D0 00 13 8F B0 00 13 03 0F 00 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 0E 80 01 93 01 20 01
|
||||
63 10 D3 11 13 02 00 00 93 00 D0 00 13 8F A0 00
|
||||
13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 0E 70 01 93 01 30 01 63 1A D3 0D
|
||||
13 02 00 00 93 00 D0 00 13 8F 90 00 13 00 00 00
|
||||
13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E 60 01 93 01 40 01 63 12 D3 0B
|
||||
13 02 00 00 93 00 D0 00 13 8F B0 00 13 02 12 00
|
||||
93 02 20 00 E3 18 52 FE 93 0E 80 01 93 01 50 01
|
||||
63 10 DF 09 13 02 00 00 93 00 D0 00 13 00 00 00
|
||||
13 8F A0 00 13 02 12 00 93 02 20 00 E3 16 52 FE
|
||||
93 0E 70 01 93 01 60 01 63 1C DF 05 13 02 00 00
|
||||
93 00 D0 00 13 00 00 00 13 00 00 00 13 8F 90 00
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 60 01
|
||||
93 01 70 01 63 16 DF 03 93 00 00 02 93 0E 00 02
|
||||
93 01 80 01 63 9E D0 01 93 00 10 02 13 80 20 03
|
||||
93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00
|
||||
93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00
|
||||
13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
73 50 00 10 93 00 00 00 13 8F 00 00 93 0E 00 00
|
||||
93 01 20 00 63 1C DF 27 93 00 10 00 13 8F 10 00
|
||||
93 0E 20 00 93 01 30 00 63 12 DF 27 93 00 30 00
|
||||
13 8F 70 00 93 0E A0 00 93 01 40 00 63 18 DF 25
|
||||
93 00 00 00 13 8F 00 80 93 0E 00 80 93 01 50 00
|
||||
63 1E DF 23 B7 00 00 80 13 8F 00 00 B7 0E 00 80
|
||||
93 01 60 00 63 14 DF 23 B7 00 00 80 13 8F 00 80
|
||||
B7 0E 00 80 93 8E 0E 80 93 01 70 00 63 18 DF 21
|
||||
93 00 00 00 13 8F F0 7F 93 0E F0 7F 93 01 80 00
|
||||
63 1E DF 1F B7 00 00 80 93 80 F0 FF 13 8F 00 00
|
||||
B7 0E 00 80 93 8E FE FF 93 01 90 00 63 10 DF 1F
|
||||
B7 00 00 80 93 80 F0 FF 13 8F F0 7F B7 0E 00 80
|
||||
93 8E EE 7F 93 01 A0 00 63 12 DF 1D B7 00 00 80
|
||||
13 8F F0 7F B7 0E 00 80 93 8E FE 7F 93 01 B0 00
|
||||
63 16 DF 1B B7 00 00 80 93 80 F0 FF 13 8F 00 80
|
||||
B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 63 18 DF 19
|
||||
93 00 00 00 13 8F F0 FF 93 0E F0 FF 93 01 D0 00
|
||||
63 1E DF 17 93 00 F0 FF 13 8F 10 00 93 0E 00 00
|
||||
93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 8F F0 FF
|
||||
93 0E E0 FF 93 01 F0 00 63 1A DF 15 B7 00 00 80
|
||||
93 80 F0 FF 13 8F 10 00 B7 0E 00 80 93 01 00 01
|
||||
63 1E DF 13 93 00 D0 00 93 80 B0 00 93 0E 80 01
|
||||
93 01 10 01 63 94 D0 13 13 02 00 00 93 00 D0 00
|
||||
13 8F B0 00 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 0E 80 01 93 01 20 01 63 10 D3 11
|
||||
13 02 00 00 93 00 D0 00 13 8F A0 00 13 00 00 00
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 0E 70 01 93 01 30 01 63 1A D3 0D 13 02 00 00
|
||||
93 00 D0 00 13 8F 90 00 13 00 00 00 13 00 00 00
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 0E 60 01 93 01 40 01 63 12 D3 0B 13 02 00 00
|
||||
93 00 D0 00 13 8F B0 00 13 02 12 00 93 02 20 00
|
||||
E3 18 52 FE 93 0E 80 01 93 01 50 01 63 10 DF 09
|
||||
13 02 00 00 93 00 D0 00 13 00 00 00 13 8F A0 00
|
||||
13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 70 01
|
||||
93 01 60 01 63 1C DF 05 13 02 00 00 93 00 D0 00
|
||||
13 00 00 00 13 00 00 00 13 8F 90 00 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 0E 60 01 93 01 70 01
|
||||
63 16 DF 03 93 00 00 02 93 0E 00 02 93 01 80 01
|
||||
63 9E D0 01 93 00 10 02 13 80 20 03 93 0E 00 00
|
||||
93 01 90 01 63 14 D0 01 63 16 30 00 73 D0 00 10
|
||||
6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00000300
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,376 +5,373 @@ generated/rv32ui-p-and: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: ff0100b7 lui ra,0xff010
|
||||
c: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
10: 0f0f1137 lui sp,0xf0f1
|
||||
14: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
18: 0020ff33 and t5,ra,sp
|
||||
1c: 0f001eb7 lui t4,0xf001
|
||||
20: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
24: 00200193 li gp,2
|
||||
28: 49df1c63 bne t5,t4,4c0 <fail>
|
||||
00000004 <test_2>:
|
||||
4: ff0100b7 lui ra,0xff010
|
||||
8: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
c: 0f0f1137 lui sp,0xf0f1
|
||||
10: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
14: 0020ff33 and t5,ra,sp
|
||||
18: 0f001eb7 lui t4,0xf001
|
||||
1c: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
20: 00200193 li gp,2
|
||||
24: 49df1c63 bne t5,t4,4bc <fail>
|
||||
|
||||
0000002c <test_3>:
|
||||
2c: 0ff010b7 lui ra,0xff01
|
||||
30: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
34: f0f0f137 lui sp,0xf0f0f
|
||||
38: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
3c: 0020ff33 and t5,ra,sp
|
||||
40: 00f00eb7 lui t4,0xf00
|
||||
44: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
48: 00300193 li gp,3
|
||||
4c: 47df1a63 bne t5,t4,4c0 <fail>
|
||||
00000028 <test_3>:
|
||||
28: 0ff010b7 lui ra,0xff01
|
||||
2c: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
30: f0f0f137 lui sp,0xf0f0f
|
||||
34: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
38: 0020ff33 and t5,ra,sp
|
||||
3c: 00f00eb7 lui t4,0xf00
|
||||
40: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
44: 00300193 li gp,3
|
||||
48: 47df1a63 bne t5,t4,4bc <fail>
|
||||
|
||||
00000050 <test_4>:
|
||||
50: 00ff00b7 lui ra,0xff0
|
||||
54: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
58: 0f0f1137 lui sp,0xf0f1
|
||||
5c: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
60: 0020ff33 and t5,ra,sp
|
||||
64: 000f0eb7 lui t4,0xf0
|
||||
68: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
6c: 00400193 li gp,4
|
||||
70: 45df1863 bne t5,t4,4c0 <fail>
|
||||
0000004c <test_4>:
|
||||
4c: 00ff00b7 lui ra,0xff0
|
||||
50: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
54: 0f0f1137 lui sp,0xf0f1
|
||||
58: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
5c: 0020ff33 and t5,ra,sp
|
||||
60: 000f0eb7 lui t4,0xf0
|
||||
64: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
68: 00400193 li gp,4
|
||||
6c: 45df1863 bne t5,t4,4bc <fail>
|
||||
|
||||
00000074 <test_5>:
|
||||
74: f00ff0b7 lui ra,0xf00ff
|
||||
78: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
7c: f0f0f137 lui sp,0xf0f0f
|
||||
80: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
84: 0020ff33 and t5,ra,sp
|
||||
88: f000feb7 lui t4,0xf000f
|
||||
8c: 00500193 li gp,5
|
||||
90: 43df1863 bne t5,t4,4c0 <fail>
|
||||
00000070 <test_5>:
|
||||
70: f00ff0b7 lui ra,0xf00ff
|
||||
74: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
78: f0f0f137 lui sp,0xf0f0f
|
||||
7c: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
80: 0020ff33 and t5,ra,sp
|
||||
84: f000feb7 lui t4,0xf000f
|
||||
88: 00500193 li gp,5
|
||||
8c: 43df1863 bne t5,t4,4bc <fail>
|
||||
|
||||
00000094 <test_6>:
|
||||
94: ff0100b7 lui ra,0xff010
|
||||
98: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
9c: 0f0f1137 lui sp,0xf0f1
|
||||
a0: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
a4: 0020f0b3 and ra,ra,sp
|
||||
a8: 0f001eb7 lui t4,0xf001
|
||||
ac: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
b0: 00600193 li gp,6
|
||||
b4: 41d09663 bne ra,t4,4c0 <fail>
|
||||
00000090 <test_6>:
|
||||
90: ff0100b7 lui ra,0xff010
|
||||
94: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
98: 0f0f1137 lui sp,0xf0f1
|
||||
9c: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
a0: 0020f0b3 and ra,ra,sp
|
||||
a4: 0f001eb7 lui t4,0xf001
|
||||
a8: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
ac: 00600193 li gp,6
|
||||
b0: 41d09663 bne ra,t4,4bc <fail>
|
||||
|
||||
000000b8 <test_7>:
|
||||
b8: 0ff010b7 lui ra,0xff01
|
||||
bc: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
c0: f0f0f137 lui sp,0xf0f0f
|
||||
c4: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
c8: 0020f133 and sp,ra,sp
|
||||
cc: 00f00eb7 lui t4,0xf00
|
||||
d0: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
d4: 00700193 li gp,7
|
||||
d8: 3fd11463 bne sp,t4,4c0 <fail>
|
||||
000000b4 <test_7>:
|
||||
b4: 0ff010b7 lui ra,0xff01
|
||||
b8: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
bc: f0f0f137 lui sp,0xf0f0f
|
||||
c0: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
c4: 0020f133 and sp,ra,sp
|
||||
c8: 00f00eb7 lui t4,0xf00
|
||||
cc: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
d0: 00700193 li gp,7
|
||||
d4: 3fd11463 bne sp,t4,4bc <fail>
|
||||
|
||||
000000dc <test_8>:
|
||||
dc: ff0100b7 lui ra,0xff010
|
||||
e0: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
e4: 0010f0b3 and ra,ra,ra
|
||||
e8: ff010eb7 lui t4,0xff010
|
||||
ec: f00e8e93 addi t4,t4,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
f0: 00800193 li gp,8
|
||||
f4: 3dd09663 bne ra,t4,4c0 <fail>
|
||||
000000d8 <test_8>:
|
||||
d8: ff0100b7 lui ra,0xff010
|
||||
dc: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
e0: 0010f0b3 and ra,ra,ra
|
||||
e4: ff010eb7 lui t4,0xff010
|
||||
e8: f00e8e93 addi t4,t4,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
ec: 00800193 li gp,8
|
||||
f0: 3dd09663 bne ra,t4,4bc <fail>
|
||||
|
||||
000000f8 <test_9>:
|
||||
f8: 00000213 li tp,0
|
||||
fc: ff0100b7 lui ra,0xff010
|
||||
100: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
104: 0f0f1137 lui sp,0xf0f1
|
||||
108: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
10c: 0020ff33 and t5,ra,sp
|
||||
110: 000f0313 mv t1,t5
|
||||
114: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
118: 00200293 li t0,2
|
||||
11c: fe5210e3 bne tp,t0,fc <test_9+0x4>
|
||||
120: 0f001eb7 lui t4,0xf001
|
||||
124: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
128: 00900193 li gp,9
|
||||
12c: 39d31a63 bne t1,t4,4c0 <fail>
|
||||
000000f4 <test_9>:
|
||||
f4: 00000213 li tp,0
|
||||
f8: ff0100b7 lui ra,0xff010
|
||||
fc: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
100: 0f0f1137 lui sp,0xf0f1
|
||||
104: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
108: 0020ff33 and t5,ra,sp
|
||||
10c: 000f0313 mv t1,t5
|
||||
110: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
114: 00200293 li t0,2
|
||||
118: fe5210e3 bne tp,t0,f8 <test_9+0x4>
|
||||
11c: 0f001eb7 lui t4,0xf001
|
||||
120: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
124: 00900193 li gp,9
|
||||
128: 39d31a63 bne t1,t4,4bc <fail>
|
||||
|
||||
00000130 <test_10>:
|
||||
130: 00000213 li tp,0
|
||||
134: 0ff010b7 lui ra,0xff01
|
||||
138: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
13c: f0f0f137 lui sp,0xf0f0f
|
||||
140: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
144: 0020ff33 and t5,ra,sp
|
||||
148: 00000013 nop
|
||||
14c: 000f0313 mv t1,t5
|
||||
150: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
154: 00200293 li t0,2
|
||||
158: fc521ee3 bne tp,t0,134 <test_10+0x4>
|
||||
15c: 00f00eb7 lui t4,0xf00
|
||||
160: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
164: 00a00193 li gp,10
|
||||
168: 35d31c63 bne t1,t4,4c0 <fail>
|
||||
0000012c <test_10>:
|
||||
12c: 00000213 li tp,0
|
||||
130: 0ff010b7 lui ra,0xff01
|
||||
134: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
138: f0f0f137 lui sp,0xf0f0f
|
||||
13c: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
140: 0020ff33 and t5,ra,sp
|
||||
144: 00000013 nop
|
||||
148: 000f0313 mv t1,t5
|
||||
14c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
150: 00200293 li t0,2
|
||||
154: fc521ee3 bne tp,t0,130 <test_10+0x4>
|
||||
158: 00f00eb7 lui t4,0xf00
|
||||
15c: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
160: 00a00193 li gp,10
|
||||
164: 35d31c63 bne t1,t4,4bc <fail>
|
||||
|
||||
0000016c <test_11>:
|
||||
16c: 00000213 li tp,0
|
||||
170: 00ff00b7 lui ra,0xff0
|
||||
174: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
178: 0f0f1137 lui sp,0xf0f1
|
||||
17c: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
180: 0020ff33 and t5,ra,sp
|
||||
00000168 <test_11>:
|
||||
168: 00000213 li tp,0
|
||||
16c: 00ff00b7 lui ra,0xff0
|
||||
170: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
174: 0f0f1137 lui sp,0xf0f1
|
||||
178: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
17c: 0020ff33 and t5,ra,sp
|
||||
180: 00000013 nop
|
||||
184: 00000013 nop
|
||||
188: 00000013 nop
|
||||
18c: 000f0313 mv t1,t5
|
||||
190: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
194: 00200293 li t0,2
|
||||
198: fc521ce3 bne tp,t0,170 <test_11+0x4>
|
||||
19c: 000f0eb7 lui t4,0xf0
|
||||
1a0: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
1a4: 00b00193 li gp,11
|
||||
1a8: 31d31c63 bne t1,t4,4c0 <fail>
|
||||
188: 000f0313 mv t1,t5
|
||||
18c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
190: 00200293 li t0,2
|
||||
194: fc521ce3 bne tp,t0,16c <test_11+0x4>
|
||||
198: 000f0eb7 lui t4,0xf0
|
||||
19c: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
1a0: 00b00193 li gp,11
|
||||
1a4: 31d31c63 bne t1,t4,4bc <fail>
|
||||
|
||||
000001ac <test_12>:
|
||||
1ac: 00000213 li tp,0
|
||||
1b0: ff0100b7 lui ra,0xff010
|
||||
1b4: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
1b8: 0f0f1137 lui sp,0xf0f1
|
||||
1bc: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
1c0: 0020ff33 and t5,ra,sp
|
||||
1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1c8: 00200293 li t0,2
|
||||
1cc: fe5212e3 bne tp,t0,1b0 <test_12+0x4>
|
||||
1d0: 0f001eb7 lui t4,0xf001
|
||||
1d4: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
1d8: 00c00193 li gp,12
|
||||
1dc: 2fdf1263 bne t5,t4,4c0 <fail>
|
||||
000001a8 <test_12>:
|
||||
1a8: 00000213 li tp,0
|
||||
1ac: ff0100b7 lui ra,0xff010
|
||||
1b0: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
1b4: 0f0f1137 lui sp,0xf0f1
|
||||
1b8: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
1bc: 0020ff33 and t5,ra,sp
|
||||
1c0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1c4: 00200293 li t0,2
|
||||
1c8: fe5212e3 bne tp,t0,1ac <test_12+0x4>
|
||||
1cc: 0f001eb7 lui t4,0xf001
|
||||
1d0: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
1d4: 00c00193 li gp,12
|
||||
1d8: 2fdf1263 bne t5,t4,4bc <fail>
|
||||
|
||||
000001e0 <test_13>:
|
||||
1e0: 00000213 li tp,0
|
||||
1e4: 0ff010b7 lui ra,0xff01
|
||||
1e8: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
1ec: f0f0f137 lui sp,0xf0f0f
|
||||
1f0: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
1f4: 00000013 nop
|
||||
1f8: 0020ff33 and t5,ra,sp
|
||||
1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
200: 00200293 li t0,2
|
||||
204: fe5210e3 bne tp,t0,1e4 <test_13+0x4>
|
||||
208: 00f00eb7 lui t4,0xf00
|
||||
20c: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
210: 00d00193 li gp,13
|
||||
214: 2bdf1663 bne t5,t4,4c0 <fail>
|
||||
000001dc <test_13>:
|
||||
1dc: 00000213 li tp,0
|
||||
1e0: 0ff010b7 lui ra,0xff01
|
||||
1e4: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
1e8: f0f0f137 lui sp,0xf0f0f
|
||||
1ec: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
1f0: 00000013 nop
|
||||
1f4: 0020ff33 and t5,ra,sp
|
||||
1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1fc: 00200293 li t0,2
|
||||
200: fe5210e3 bne tp,t0,1e0 <test_13+0x4>
|
||||
204: 00f00eb7 lui t4,0xf00
|
||||
208: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
20c: 00d00193 li gp,13
|
||||
210: 2bdf1663 bne t5,t4,4bc <fail>
|
||||
|
||||
00000218 <test_14>:
|
||||
218: 00000213 li tp,0
|
||||
21c: 00ff00b7 lui ra,0xff0
|
||||
220: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
224: 0f0f1137 lui sp,0xf0f1
|
||||
228: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
00000214 <test_14>:
|
||||
214: 00000213 li tp,0
|
||||
218: 00ff00b7 lui ra,0xff0
|
||||
21c: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
220: 0f0f1137 lui sp,0xf0f1
|
||||
224: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
228: 00000013 nop
|
||||
22c: 00000013 nop
|
||||
230: 00000013 nop
|
||||
234: 0020ff33 and t5,ra,sp
|
||||
238: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
23c: 00200293 li t0,2
|
||||
240: fc521ee3 bne tp,t0,21c <test_14+0x4>
|
||||
244: 000f0eb7 lui t4,0xf0
|
||||
248: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
24c: 00e00193 li gp,14
|
||||
250: 27df1863 bne t5,t4,4c0 <fail>
|
||||
230: 0020ff33 and t5,ra,sp
|
||||
234: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
238: 00200293 li t0,2
|
||||
23c: fc521ee3 bne tp,t0,218 <test_14+0x4>
|
||||
240: 000f0eb7 lui t4,0xf0
|
||||
244: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
248: 00e00193 li gp,14
|
||||
24c: 27df1863 bne t5,t4,4bc <fail>
|
||||
|
||||
00000254 <test_15>:
|
||||
254: 00000213 li tp,0
|
||||
258: ff0100b7 lui ra,0xff010
|
||||
25c: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
260: 00000013 nop
|
||||
264: 0f0f1137 lui sp,0xf0f1
|
||||
268: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
26c: 0020ff33 and t5,ra,sp
|
||||
270: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
274: 00200293 li t0,2
|
||||
278: fe5210e3 bne tp,t0,258 <test_15+0x4>
|
||||
27c: 0f001eb7 lui t4,0xf001
|
||||
280: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
284: 00f00193 li gp,15
|
||||
288: 23df1c63 bne t5,t4,4c0 <fail>
|
||||
00000250 <test_15>:
|
||||
250: 00000213 li tp,0
|
||||
254: ff0100b7 lui ra,0xff010
|
||||
258: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
25c: 00000013 nop
|
||||
260: 0f0f1137 lui sp,0xf0f1
|
||||
264: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
268: 0020ff33 and t5,ra,sp
|
||||
26c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
270: 00200293 li t0,2
|
||||
274: fe5210e3 bne tp,t0,254 <test_15+0x4>
|
||||
278: 0f001eb7 lui t4,0xf001
|
||||
27c: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
280: 00f00193 li gp,15
|
||||
284: 23df1c63 bne t5,t4,4bc <fail>
|
||||
|
||||
0000028c <test_16>:
|
||||
28c: 00000213 li tp,0
|
||||
290: 0ff010b7 lui ra,0xff01
|
||||
294: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
298: 00000013 nop
|
||||
29c: f0f0f137 lui sp,0xf0f0f
|
||||
2a0: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
2a4: 00000013 nop
|
||||
2a8: 0020ff33 and t5,ra,sp
|
||||
2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2b0: 00200293 li t0,2
|
||||
2b4: fc521ee3 bne tp,t0,290 <test_16+0x4>
|
||||
2b8: 00f00eb7 lui t4,0xf00
|
||||
2bc: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
2c0: 01000193 li gp,16
|
||||
2c4: 1fdf1e63 bne t5,t4,4c0 <fail>
|
||||
00000288 <test_16>:
|
||||
288: 00000213 li tp,0
|
||||
28c: 0ff010b7 lui ra,0xff01
|
||||
290: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
294: 00000013 nop
|
||||
298: f0f0f137 lui sp,0xf0f0f
|
||||
29c: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
2a0: 00000013 nop
|
||||
2a4: 0020ff33 and t5,ra,sp
|
||||
2a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2ac: 00200293 li t0,2
|
||||
2b0: fc521ee3 bne tp,t0,28c <test_16+0x4>
|
||||
2b4: 00f00eb7 lui t4,0xf00
|
||||
2b8: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
2bc: 01000193 li gp,16
|
||||
2c0: 1fdf1e63 bne t5,t4,4bc <fail>
|
||||
|
||||
000002c8 <test_17>:
|
||||
2c8: 00000213 li tp,0
|
||||
2cc: 00ff00b7 lui ra,0xff0
|
||||
2d0: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
000002c4 <test_17>:
|
||||
2c4: 00000213 li tp,0
|
||||
2c8: 00ff00b7 lui ra,0xff0
|
||||
2cc: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
2d0: 00000013 nop
|
||||
2d4: 00000013 nop
|
||||
2d8: 00000013 nop
|
||||
2dc: 0f0f1137 lui sp,0xf0f1
|
||||
2e0: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
2e4: 0020ff33 and t5,ra,sp
|
||||
2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2ec: 00200293 li t0,2
|
||||
2f0: fc521ee3 bne tp,t0,2cc <test_17+0x4>
|
||||
2f4: 000f0eb7 lui t4,0xf0
|
||||
2f8: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
2fc: 01100193 li gp,17
|
||||
300: 1ddf1063 bne t5,t4,4c0 <fail>
|
||||
2d8: 0f0f1137 lui sp,0xf0f1
|
||||
2dc: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
2e0: 0020ff33 and t5,ra,sp
|
||||
2e4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2e8: 00200293 li t0,2
|
||||
2ec: fc521ee3 bne tp,t0,2c8 <test_17+0x4>
|
||||
2f0: 000f0eb7 lui t4,0xf0
|
||||
2f4: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
2f8: 01100193 li gp,17
|
||||
2fc: 1ddf1063 bne t5,t4,4bc <fail>
|
||||
|
||||
00000304 <test_18>:
|
||||
304: 00000213 li tp,0
|
||||
308: 0f0f1137 lui sp,0xf0f1
|
||||
30c: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
310: ff0100b7 lui ra,0xff010
|
||||
314: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
318: 0020ff33 and t5,ra,sp
|
||||
31c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
320: 00200293 li t0,2
|
||||
324: fe5212e3 bne tp,t0,308 <test_18+0x4>
|
||||
328: 0f001eb7 lui t4,0xf001
|
||||
32c: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
330: 01200193 li gp,18
|
||||
334: 19df1663 bne t5,t4,4c0 <fail>
|
||||
00000300 <test_18>:
|
||||
300: 00000213 li tp,0
|
||||
304: 0f0f1137 lui sp,0xf0f1
|
||||
308: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
30c: ff0100b7 lui ra,0xff010
|
||||
310: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
314: 0020ff33 and t5,ra,sp
|
||||
318: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
31c: 00200293 li t0,2
|
||||
320: fe5212e3 bne tp,t0,304 <test_18+0x4>
|
||||
324: 0f001eb7 lui t4,0xf001
|
||||
328: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
32c: 01200193 li gp,18
|
||||
330: 19df1663 bne t5,t4,4bc <fail>
|
||||
|
||||
00000338 <test_19>:
|
||||
338: 00000213 li tp,0
|
||||
33c: f0f0f137 lui sp,0xf0f0f
|
||||
340: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
344: 0ff010b7 lui ra,0xff01
|
||||
348: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
34c: 00000013 nop
|
||||
350: 0020ff33 and t5,ra,sp
|
||||
354: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
358: 00200293 li t0,2
|
||||
35c: fe5210e3 bne tp,t0,33c <test_19+0x4>
|
||||
360: 00f00eb7 lui t4,0xf00
|
||||
364: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
368: 01300193 li gp,19
|
||||
36c: 15df1a63 bne t5,t4,4c0 <fail>
|
||||
00000334 <test_19>:
|
||||
334: 00000213 li tp,0
|
||||
338: f0f0f137 lui sp,0xf0f0f
|
||||
33c: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
340: 0ff010b7 lui ra,0xff01
|
||||
344: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
348: 00000013 nop
|
||||
34c: 0020ff33 and t5,ra,sp
|
||||
350: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
354: 00200293 li t0,2
|
||||
358: fe5210e3 bne tp,t0,338 <test_19+0x4>
|
||||
35c: 00f00eb7 lui t4,0xf00
|
||||
360: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
364: 01300193 li gp,19
|
||||
368: 15df1a63 bne t5,t4,4bc <fail>
|
||||
|
||||
00000370 <test_20>:
|
||||
370: 00000213 li tp,0
|
||||
374: 0f0f1137 lui sp,0xf0f1
|
||||
378: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
37c: 00ff00b7 lui ra,0xff0
|
||||
380: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
0000036c <test_20>:
|
||||
36c: 00000213 li tp,0
|
||||
370: 0f0f1137 lui sp,0xf0f1
|
||||
374: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
378: 00ff00b7 lui ra,0xff0
|
||||
37c: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
380: 00000013 nop
|
||||
384: 00000013 nop
|
||||
388: 00000013 nop
|
||||
38c: 0020ff33 and t5,ra,sp
|
||||
390: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
394: 00200293 li t0,2
|
||||
398: fc521ee3 bne tp,t0,374 <test_20+0x4>
|
||||
39c: 000f0eb7 lui t4,0xf0
|
||||
3a0: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
3a4: 01400193 li gp,20
|
||||
3a8: 11df1c63 bne t5,t4,4c0 <fail>
|
||||
388: 0020ff33 and t5,ra,sp
|
||||
38c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
390: 00200293 li t0,2
|
||||
394: fc521ee3 bne tp,t0,370 <test_20+0x4>
|
||||
398: 000f0eb7 lui t4,0xf0
|
||||
39c: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
3a0: 01400193 li gp,20
|
||||
3a4: 11df1c63 bne t5,t4,4bc <fail>
|
||||
|
||||
000003ac <test_21>:
|
||||
3ac: 00000213 li tp,0
|
||||
3b0: 0f0f1137 lui sp,0xf0f1
|
||||
3b4: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
3b8: 00000013 nop
|
||||
3bc: ff0100b7 lui ra,0xff010
|
||||
3c0: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
3c4: 0020ff33 and t5,ra,sp
|
||||
3c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
3cc: 00200293 li t0,2
|
||||
3d0: fe5210e3 bne tp,t0,3b0 <test_21+0x4>
|
||||
3d4: 0f001eb7 lui t4,0xf001
|
||||
3d8: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
3dc: 01500193 li gp,21
|
||||
3e0: 0fdf1063 bne t5,t4,4c0 <fail>
|
||||
000003a8 <test_21>:
|
||||
3a8: 00000213 li tp,0
|
||||
3ac: 0f0f1137 lui sp,0xf0f1
|
||||
3b0: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
3b4: 00000013 nop
|
||||
3b8: ff0100b7 lui ra,0xff010
|
||||
3bc: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
3c0: 0020ff33 and t5,ra,sp
|
||||
3c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
3c8: 00200293 li t0,2
|
||||
3cc: fe5210e3 bne tp,t0,3ac <test_21+0x4>
|
||||
3d0: 0f001eb7 lui t4,0xf001
|
||||
3d4: f00e8e93 addi t4,t4,-256 # f000f00 <begin_signature+0xeffff00>
|
||||
3d8: 01500193 li gp,21
|
||||
3dc: 0fdf1063 bne t5,t4,4bc <fail>
|
||||
|
||||
000003e4 <test_22>:
|
||||
3e4: 00000213 li tp,0
|
||||
3e8: f0f0f137 lui sp,0xf0f0f
|
||||
3ec: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
3f0: 00000013 nop
|
||||
3f4: 0ff010b7 lui ra,0xff01
|
||||
3f8: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
3fc: 00000013 nop
|
||||
400: 0020ff33 and t5,ra,sp
|
||||
404: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
408: 00200293 li t0,2
|
||||
40c: fc521ee3 bne tp,t0,3e8 <test_22+0x4>
|
||||
410: 00f00eb7 lui t4,0xf00
|
||||
414: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
418: 01600193 li gp,22
|
||||
41c: 0bdf1263 bne t5,t4,4c0 <fail>
|
||||
000003e0 <test_22>:
|
||||
3e0: 00000213 li tp,0
|
||||
3e4: f0f0f137 lui sp,0xf0f0f
|
||||
3e8: 0f010113 addi sp,sp,240 # f0f0f0f0 <begin_signature+0xf0f0e0f0>
|
||||
3ec: 00000013 nop
|
||||
3f0: 0ff010b7 lui ra,0xff01
|
||||
3f4: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
3f8: 00000013 nop
|
||||
3fc: 0020ff33 and t5,ra,sp
|
||||
400: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
404: 00200293 li t0,2
|
||||
408: fc521ee3 bne tp,t0,3e4 <test_22+0x4>
|
||||
40c: 00f00eb7 lui t4,0xf00
|
||||
410: 0f0e8e93 addi t4,t4,240 # f000f0 <begin_signature+0xeff0f0>
|
||||
414: 01600193 li gp,22
|
||||
418: 0bdf1263 bne t5,t4,4bc <fail>
|
||||
|
||||
00000420 <test_23>:
|
||||
420: 00000213 li tp,0
|
||||
424: 0f0f1137 lui sp,0xf0f1
|
||||
428: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
0000041c <test_23>:
|
||||
41c: 00000213 li tp,0
|
||||
420: 0f0f1137 lui sp,0xf0f1
|
||||
424: f0f10113 addi sp,sp,-241 # f0f0f0f <begin_signature+0xf0eff0f>
|
||||
428: 00000013 nop
|
||||
42c: 00000013 nop
|
||||
430: 00000013 nop
|
||||
434: 00ff00b7 lui ra,0xff0
|
||||
438: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
43c: 0020ff33 and t5,ra,sp
|
||||
440: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
444: 00200293 li t0,2
|
||||
448: fc521ee3 bne tp,t0,424 <test_23+0x4>
|
||||
44c: 000f0eb7 lui t4,0xf0
|
||||
450: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
454: 01700193 li gp,23
|
||||
458: 07df1463 bne t5,t4,4c0 <fail>
|
||||
430: 00ff00b7 lui ra,0xff0
|
||||
434: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
438: 0020ff33 and t5,ra,sp
|
||||
43c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
440: 00200293 li t0,2
|
||||
444: fc521ee3 bne tp,t0,420 <test_23+0x4>
|
||||
448: 000f0eb7 lui t4,0xf0
|
||||
44c: 00fe8e93 addi t4,t4,15 # f000f <begin_signature+0xef00f>
|
||||
450: 01700193 li gp,23
|
||||
454: 07df1463 bne t5,t4,4bc <fail>
|
||||
|
||||
0000045c <test_24>:
|
||||
45c: ff0100b7 lui ra,0xff010
|
||||
460: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
464: 00107133 and sp,zero,ra
|
||||
468: 00000e93 li t4,0
|
||||
46c: 01800193 li gp,24
|
||||
470: 05d11863 bne sp,t4,4c0 <fail>
|
||||
00000458 <test_24>:
|
||||
458: ff0100b7 lui ra,0xff010
|
||||
45c: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
460: 00107133 and sp,zero,ra
|
||||
464: 00000e93 li t4,0
|
||||
468: 01800193 li gp,24
|
||||
46c: 05d11863 bne sp,t4,4bc <fail>
|
||||
|
||||
00000474 <test_25>:
|
||||
474: 00ff00b7 lui ra,0xff0
|
||||
478: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
47c: 0000f133 and sp,ra,zero
|
||||
480: 00000e93 li t4,0
|
||||
484: 01900193 li gp,25
|
||||
488: 03d11c63 bne sp,t4,4c0 <fail>
|
||||
00000470 <test_25>:
|
||||
470: 00ff00b7 lui ra,0xff0
|
||||
474: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
478: 0000f133 and sp,ra,zero
|
||||
47c: 00000e93 li t4,0
|
||||
480: 01900193 li gp,25
|
||||
484: 03d11c63 bne sp,t4,4bc <fail>
|
||||
|
||||
0000048c <test_26>:
|
||||
48c: 000070b3 and ra,zero,zero
|
||||
490: 00000e93 li t4,0
|
||||
494: 01a00193 li gp,26
|
||||
498: 03d09463 bne ra,t4,4c0 <fail>
|
||||
00000488 <test_26>:
|
||||
488: 000070b3 and ra,zero,zero
|
||||
48c: 00000e93 li t4,0
|
||||
490: 01a00193 li gp,26
|
||||
494: 03d09463 bne ra,t4,4bc <fail>
|
||||
|
||||
0000049c <test_27>:
|
||||
49c: 111110b7 lui ra,0x11111
|
||||
4a0: 11108093 addi ra,ra,273 # 11111111 <begin_signature+0x11110111>
|
||||
4a4: 22222137 lui sp,0x22222
|
||||
4a8: 22210113 addi sp,sp,546 # 22222222 <begin_signature+0x22221222>
|
||||
4ac: 0020f033 and zero,ra,sp
|
||||
4b0: 00000e93 li t4,0
|
||||
4b4: 01b00193 li gp,27
|
||||
4b8: 01d01463 bne zero,t4,4c0 <fail>
|
||||
4bc: 00301863 bne zero,gp,4cc <pass>
|
||||
00000498 <test_27>:
|
||||
498: 111110b7 lui ra,0x11111
|
||||
49c: 11108093 addi ra,ra,273 # 11111111 <begin_signature+0x11110111>
|
||||
4a0: 22222137 lui sp,0x22222
|
||||
4a4: 22210113 addi sp,sp,546 # 22222222 <begin_signature+0x22221222>
|
||||
4a8: 0020f033 and zero,ra,sp
|
||||
4ac: 00000e93 li t4,0
|
||||
4b0: 01b00193 li gp,27
|
||||
4b4: 01d01463 bne zero,t4,4bc <fail>
|
||||
4b8: 00301663 bne zero,gp,4c4 <pass>
|
||||
|
||||
000004c0 <fail>:
|
||||
4c0: 00000d93 li s11,0
|
||||
4c4: 00100d13 li s10,1
|
||||
000004bc <fail>:
|
||||
4bc: 1000d073 csrwi sstatus,1
|
||||
|
||||
000004c8 <loop_fail>:
|
||||
4c8: 0000006f j 4c8 <loop_fail>
|
||||
000004c0 <loop_fail>:
|
||||
4c0: 0000006f j 4c0 <loop_fail>
|
||||
|
||||
000004cc <pass>:
|
||||
4cc: 00100d93 li s11,1
|
||||
4d0: 00100d13 li s10,1
|
||||
000004c4 <pass>:
|
||||
4c4: 1001d073 csrwi sstatus,3
|
||||
|
||||
000004d4 <loop_pass>:
|
||||
4d4: 0000006f j 4d4 <loop_pass>
|
||||
000004c8 <loop_pass>:
|
||||
4c8: 0000006f j 4c8 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -388,14 +385,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
ff0100b7
|
||||
f0008093
|
||||
0f0f1137
|
||||
|
@ -301,12 +300,10 @@ f0008093
|
|||
00000e93
|
||||
01b00193
|
||||
01d01463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -352,3 +349,6 @@ f0008093
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,82 +1,82 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0
|
||||
37 11 0F 0F 13 01 F1 F0 33 FF 20 00 B7 1E 00 0F
|
||||
93 8E 0E F0 93 01 20 00 63 1C DF 49 B7 10 F0 0F
|
||||
93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 FF 20 00
|
||||
B7 0E F0 00 93 8E 0E 0F 93 01 30 00 63 1A DF 47
|
||||
B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0
|
||||
33 FF 20 00 B7 0E 0F 00 93 8E FE 00 93 01 40 00
|
||||
63 18 DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0
|
||||
13 01 01 0F 33 FF 20 00 B7 FE 00 F0 93 01 50 00
|
||||
63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F
|
||||
13 01 F1 F0 B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0
|
||||
93 01 60 00 63 96 D0 41 B7 10 F0 0F 93 80 00 FF
|
||||
37 F1 F0 F0 13 01 01 0F 33 F1 20 00 B7 0E F0 00
|
||||
93 8E 0E 0F 93 01 70 00 63 14 D1 3F B7 00 01 FF
|
||||
93 80 00 F0 B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0
|
||||
93 01 80 00 63 96 D0 3D 13 02 00 00 B7 00 01 FF
|
||||
93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
B7 1E 00 0F 93 8E 0E F0 93 01 90 00 63 1A D3 39
|
||||
13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0
|
||||
13 01 01 0F 33 FF 20 00 13 00 00 00 13 03 0F 00
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00
|
||||
93 8E 0E 0F 93 01 A0 00 63 1C D3 35 13 02 00 00
|
||||
B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0
|
||||
33 FF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00
|
||||
13 02 12 00 93 02 20 00 E3 1C 52 FC B7 0E 0F 00
|
||||
93 8E FE 00 93 01 B0 00 63 1C D3 31 13 02 00 00
|
||||
73 50 00 10 B7 00 01 FF 93 80 00 F0 37 11 0F 0F
|
||||
13 01 F1 F0 33 FF 20 00 B7 1E 00 0F 93 8E 0E F0
|
||||
93 01 20 00 63 1C DF 49 B7 10 F0 0F 93 80 00 FF
|
||||
37 F1 F0 F0 13 01 01 0F 33 FF 20 00 B7 0E F0 00
|
||||
93 8E 0E 0F 93 01 30 00 63 1A DF 47 B7 00 FF 00
|
||||
93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 FF 20 00
|
||||
B7 0E 0F 00 93 8E FE 00 93 01 40 00 63 18 DF 45
|
||||
B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 13 01 01 0F
|
||||
33 FF 20 00 B7 FE 00 F0 93 01 50 00 63 18 DF 43
|
||||
B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0
|
||||
33 FF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
B7 1E 00 0F 93 8E 0E F0 93 01 C0 00 63 12 DF 2F
|
||||
13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0
|
||||
13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE B7 0E F0 00 93 8E 0E 0F
|
||||
93 01 D0 00 63 16 DF 2B 13 02 00 00 B7 00 FF 00
|
||||
93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00
|
||||
13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 E0 00
|
||||
63 18 DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0
|
||||
13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 FF 20 00
|
||||
B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 93 01 60 00
|
||||
63 96 D0 41 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0
|
||||
13 01 01 0F 33 F1 20 00 B7 0E F0 00 93 8E 0E 0F
|
||||
93 01 70 00 63 14 D1 3F B7 00 01 FF 93 80 00 F0
|
||||
B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 93 01 80 00
|
||||
63 96 D0 3D 13 02 00 00 B7 00 01 FF 93 80 00 F0
|
||||
37 11 0F 0F 13 01 F1 F0 33 FF 20 00 13 03 0F 00
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE B7 1E 00 0F
|
||||
93 8E 0E F0 93 01 F0 00 63 1C DF 23 13 02 00 00
|
||||
B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0
|
||||
13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00
|
||||
93 8E 0E F0 93 01 90 00 63 1A D3 39 13 02 00 00
|
||||
B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 13 01 01 0F
|
||||
33 FF 20 00 13 00 00 00 13 03 0F 00 13 02 12 00
|
||||
93 02 20 00 E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F
|
||||
93 01 00 01 63 1E DF 1F 13 02 00 00 B7 00 FF 00
|
||||
93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F
|
||||
13 01 F1 F0 33 FF 20 00 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 10 01
|
||||
63 10 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0
|
||||
B7 00 01 FF 93 80 00 F0 33 FF 20 00 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE B7 1E 00 0F 93 8E 0E F0
|
||||
93 01 20 01 63 16 DF 19 13 02 00 00 37 F1 F0 F0
|
||||
13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00
|
||||
33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
B7 0E F0 00 93 8E 0E 0F 93 01 30 01 63 1A DF 15
|
||||
13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00
|
||||
93 80 F0 0F 13 00 00 00 13 00 00 00 33 FF 20 00
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00
|
||||
93 8E FE 00 93 01 40 01 63 1C DF 11 13 02 00 00
|
||||
37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF
|
||||
93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 50 01
|
||||
63 10 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F
|
||||
13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00
|
||||
93 01 A0 00 63 1C D3 35 13 02 00 00 B7 00 FF 00
|
||||
93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 33 FF 20 00
|
||||
13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00
|
||||
93 02 20 00 E3 1C 52 FC B7 0E 0F 00 93 8E FE 00
|
||||
93 01 B0 00 63 1C D3 31 13 02 00 00 B7 00 01 FF
|
||||
93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE B7 1E 00 0F
|
||||
93 8E 0E F0 93 01 C0 00 63 12 DF 2F 13 02 00 00
|
||||
B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 13 01 01 0F
|
||||
13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE B7 0E F0 00 93 8E 0E 0F 93 01 D0 00
|
||||
63 16 DF 2B 13 02 00 00 B7 00 FF 00 93 80 F0 0F
|
||||
37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00
|
||||
33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
B7 0E F0 00 93 8E 0E 0F 93 01 60 01 63 12 DF 0B
|
||||
13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00
|
||||
13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 FF 20 00
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00
|
||||
93 8E FE 00 93 01 70 01 63 14 DF 07 B7 00 01 FF
|
||||
93 80 00 F0 33 71 10 00 93 0E 00 00 93 01 80 01
|
||||
63 18 D1 05 B7 00 FF 00 93 80 F0 0F 33 F1 00 00
|
||||
93 0E 00 00 93 01 90 01 63 1C D1 03 B3 70 00 00
|
||||
93 0E 00 00 93 01 A0 01 63 94 D0 03 B7 10 11 11
|
||||
93 80 10 11 37 21 22 22 13 01 21 22 33 F0 20 00
|
||||
93 0E 00 00 93 01 B0 01 63 14 D0 01 63 18 30 00
|
||||
93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00
|
||||
13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
B7 0E 0F 00 93 8E FE 00 93 01 E0 00 63 18 DF 27
|
||||
13 02 00 00 B7 00 01 FF 93 80 00 F0 13 00 00 00
|
||||
37 11 0F 0F 13 01 F1 F0 33 FF 20 00 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE B7 1E 00 0F 93 8E 0E F0
|
||||
93 01 F0 00 63 1C DF 23 13 02 00 00 B7 10 F0 0F
|
||||
93 80 00 FF 13 00 00 00 37 F1 F0 F0 13 01 01 0F
|
||||
13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F 93 01 00 01
|
||||
63 1E DF 1F 13 02 00 00 B7 00 FF 00 93 80 F0 0F
|
||||
13 00 00 00 13 00 00 00 37 11 0F 0F 13 01 F1 F0
|
||||
33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
B7 0E 0F 00 93 8E FE 00 93 01 10 01 63 10 DF 1D
|
||||
13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 01 FF
|
||||
93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 20 01
|
||||
63 16 DF 19 13 02 00 00 37 F1 F0 F0 13 01 01 0F
|
||||
B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 FF 20 00
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F0 00
|
||||
93 8E 0E 0F 93 01 30 01 63 1A DF 15 13 02 00 00
|
||||
37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 93 80 F0 0F
|
||||
13 00 00 00 13 00 00 00 33 FF 20 00 13 02 12 00
|
||||
93 02 20 00 E3 1E 52 FC B7 0E 0F 00 93 8E FE 00
|
||||
93 01 40 01 63 1C DF 11 13 02 00 00 37 11 0F 0F
|
||||
13 01 F1 F0 13 00 00 00 B7 00 01 FF 93 80 00 F0
|
||||
33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
B7 1E 00 0F 93 8E 0E F0 93 01 50 01 63 10 DF 0F
|
||||
13 02 00 00 37 F1 F0 F0 13 01 01 0F 13 00 00 00
|
||||
B7 10 F0 0F 93 80 00 FF 13 00 00 00 33 FF 20 00
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00
|
||||
93 8E 0E 0F 93 01 60 01 63 12 DF 0B 13 02 00 00
|
||||
37 11 0F 0F 13 01 F1 F0 13 00 00 00 13 00 00 00
|
||||
B7 00 FF 00 93 80 F0 0F 33 FF 20 00 13 02 12 00
|
||||
93 02 20 00 E3 1E 52 FC B7 0E 0F 00 93 8E FE 00
|
||||
93 01 70 01 63 14 DF 07 B7 00 01 FF 93 80 00 F0
|
||||
33 71 10 00 93 0E 00 00 93 01 80 01 63 18 D1 05
|
||||
B7 00 FF 00 93 80 F0 0F 33 F1 00 00 93 0E 00 00
|
||||
93 01 90 01 63 1C D1 03 B3 70 00 00 93 0E 00 00
|
||||
93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11
|
||||
37 21 22 22 13 01 21 22 33 F0 20 00 93 0E 00 00
|
||||
93 01 B0 01 63 14 D0 01 63 16 30 00 73 D0 00 10
|
||||
6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,160 +5,157 @@ generated/rv32ui-p-andi: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: ff0100b7 lui ra,0xff010
|
||||
c: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
10: f0f0ff13 andi t5,ra,-241
|
||||
14: ff010eb7 lui t4,0xff010
|
||||
18: f00e8e93 addi t4,t4,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
1c: 00200193 li gp,2
|
||||
20: 1bdf1463 bne t5,t4,1c8 <fail>
|
||||
00000004 <test_2>:
|
||||
4: ff0100b7 lui ra,0xff010
|
||||
8: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
c: f0f0ff13 andi t5,ra,-241
|
||||
10: ff010eb7 lui t4,0xff010
|
||||
14: f00e8e93 addi t4,t4,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
18: 00200193 li gp,2
|
||||
1c: 1bdf1463 bne t5,t4,1c4 <fail>
|
||||
|
||||
00000024 <test_3>:
|
||||
24: 0ff010b7 lui ra,0xff01
|
||||
28: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
2c: 0f00ff13 andi t5,ra,240
|
||||
30: 0f000e93 li t4,240
|
||||
34: 00300193 li gp,3
|
||||
38: 19df1863 bne t5,t4,1c8 <fail>
|
||||
00000020 <test_3>:
|
||||
20: 0ff010b7 lui ra,0xff01
|
||||
24: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
28: 0f00ff13 andi t5,ra,240
|
||||
2c: 0f000e93 li t4,240
|
||||
30: 00300193 li gp,3
|
||||
34: 19df1863 bne t5,t4,1c4 <fail>
|
||||
|
||||
0000003c <test_4>:
|
||||
3c: 00ff00b7 lui ra,0xff0
|
||||
40: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
44: 70f0ff13 andi t5,ra,1807
|
||||
48: 00f00e93 li t4,15
|
||||
4c: 00400193 li gp,4
|
||||
50: 17df1c63 bne t5,t4,1c8 <fail>
|
||||
00000038 <test_4>:
|
||||
38: 00ff00b7 lui ra,0xff0
|
||||
3c: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
40: 70f0ff13 andi t5,ra,1807
|
||||
44: 00f00e93 li t4,15
|
||||
48: 00400193 li gp,4
|
||||
4c: 17df1c63 bne t5,t4,1c4 <fail>
|
||||
|
||||
00000054 <test_5>:
|
||||
54: f00ff0b7 lui ra,0xf00ff
|
||||
58: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
5c: 0f00ff13 andi t5,ra,240
|
||||
60: 00000e93 li t4,0
|
||||
64: 00500193 li gp,5
|
||||
68: 17df1063 bne t5,t4,1c8 <fail>
|
||||
00000050 <test_5>:
|
||||
50: f00ff0b7 lui ra,0xf00ff
|
||||
54: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
58: 0f00ff13 andi t5,ra,240
|
||||
5c: 00000e93 li t4,0
|
||||
60: 00500193 li gp,5
|
||||
64: 17df1063 bne t5,t4,1c4 <fail>
|
||||
|
||||
0000006c <test_6>:
|
||||
6c: ff0100b7 lui ra,0xff010
|
||||
70: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
74: 0f00f093 andi ra,ra,240
|
||||
78: 00000e93 li t4,0
|
||||
7c: 00600193 li gp,6
|
||||
80: 15d09463 bne ra,t4,1c8 <fail>
|
||||
00000068 <test_6>:
|
||||
68: ff0100b7 lui ra,0xff010
|
||||
6c: f0008093 addi ra,ra,-256 # ff00ff00 <begin_signature+0xff00ef00>
|
||||
70: 0f00f093 andi ra,ra,240
|
||||
74: 00000e93 li t4,0
|
||||
78: 00600193 li gp,6
|
||||
7c: 15d09463 bne ra,t4,1c4 <fail>
|
||||
|
||||
00000084 <test_7>:
|
||||
84: 00000213 li tp,0
|
||||
88: 0ff010b7 lui ra,0xff01
|
||||
8c: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
90: 70f0ff13 andi t5,ra,1807
|
||||
94: 000f0313 mv t1,t5
|
||||
98: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
9c: 00200293 li t0,2
|
||||
a0: fe5214e3 bne tp,t0,88 <test_7+0x4>
|
||||
a4: 70000e93 li t4,1792
|
||||
a8: 00700193 li gp,7
|
||||
ac: 11d31e63 bne t1,t4,1c8 <fail>
|
||||
00000080 <test_7>:
|
||||
80: 00000213 li tp,0
|
||||
84: 0ff010b7 lui ra,0xff01
|
||||
88: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
8c: 70f0ff13 andi t5,ra,1807
|
||||
90: 000f0313 mv t1,t5
|
||||
94: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
98: 00200293 li t0,2
|
||||
9c: fe5214e3 bne tp,t0,84 <test_7+0x4>
|
||||
a0: 70000e93 li t4,1792
|
||||
a4: 00700193 li gp,7
|
||||
a8: 11d31e63 bne t1,t4,1c4 <fail>
|
||||
|
||||
000000b0 <test_8>:
|
||||
b0: 00000213 li tp,0
|
||||
b4: 00ff00b7 lui ra,0xff0
|
||||
b8: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
bc: 0f00ff13 andi t5,ra,240
|
||||
c0: 00000013 nop
|
||||
c4: 000f0313 mv t1,t5
|
||||
c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
cc: 00200293 li t0,2
|
||||
d0: fe5212e3 bne tp,t0,b4 <test_8+0x4>
|
||||
d4: 0f000e93 li t4,240
|
||||
d8: 00800193 li gp,8
|
||||
dc: 0fd31663 bne t1,t4,1c8 <fail>
|
||||
000000ac <test_8>:
|
||||
ac: 00000213 li tp,0
|
||||
b0: 00ff00b7 lui ra,0xff0
|
||||
b4: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
b8: 0f00ff13 andi t5,ra,240
|
||||
bc: 00000013 nop
|
||||
c0: 000f0313 mv t1,t5
|
||||
c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
c8: 00200293 li t0,2
|
||||
cc: fe5212e3 bne tp,t0,b0 <test_8+0x4>
|
||||
d0: 0f000e93 li t4,240
|
||||
d4: 00800193 li gp,8
|
||||
d8: 0fd31663 bne t1,t4,1c4 <fail>
|
||||
|
||||
000000e0 <test_9>:
|
||||
e0: 00000213 li tp,0
|
||||
e4: f00ff0b7 lui ra,0xf00ff
|
||||
e8: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
ec: f0f0ff13 andi t5,ra,-241
|
||||
000000dc <test_9>:
|
||||
dc: 00000213 li tp,0
|
||||
e0: f00ff0b7 lui ra,0xf00ff
|
||||
e4: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
e8: f0f0ff13 andi t5,ra,-241
|
||||
ec: 00000013 nop
|
||||
f0: 00000013 nop
|
||||
f4: 00000013 nop
|
||||
f8: 000f0313 mv t1,t5
|
||||
fc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
100: 00200293 li t0,2
|
||||
104: fe5210e3 bne tp,t0,e4 <test_9+0x4>
|
||||
108: f00ffeb7 lui t4,0xf00ff
|
||||
10c: 00fe8e93 addi t4,t4,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
110: 00900193 li gp,9
|
||||
114: 0bd31a63 bne t1,t4,1c8 <fail>
|
||||
f4: 000f0313 mv t1,t5
|
||||
f8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
fc: 00200293 li t0,2
|
||||
100: fe5210e3 bne tp,t0,e0 <test_9+0x4>
|
||||
104: f00ffeb7 lui t4,0xf00ff
|
||||
108: 00fe8e93 addi t4,t4,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
10c: 00900193 li gp,9
|
||||
110: 0bd31a63 bne t1,t4,1c4 <fail>
|
||||
|
||||
00000118 <test_10>:
|
||||
118: 00000213 li tp,0
|
||||
11c: 0ff010b7 lui ra,0xff01
|
||||
120: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
124: 70f0ff13 andi t5,ra,1807
|
||||
128: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
12c: 00200293 li t0,2
|
||||
130: fe5216e3 bne tp,t0,11c <test_10+0x4>
|
||||
134: 70000e93 li t4,1792
|
||||
138: 00a00193 li gp,10
|
||||
13c: 09df1663 bne t5,t4,1c8 <fail>
|
||||
00000114 <test_10>:
|
||||
114: 00000213 li tp,0
|
||||
118: 0ff010b7 lui ra,0xff01
|
||||
11c: ff008093 addi ra,ra,-16 # ff00ff0 <begin_signature+0xfeffff0>
|
||||
120: 70f0ff13 andi t5,ra,1807
|
||||
124: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
128: 00200293 li t0,2
|
||||
12c: fe5216e3 bne tp,t0,118 <test_10+0x4>
|
||||
130: 70000e93 li t4,1792
|
||||
134: 00a00193 li gp,10
|
||||
138: 09df1663 bne t5,t4,1c4 <fail>
|
||||
|
||||
00000140 <test_11>:
|
||||
140: 00000213 li tp,0
|
||||
144: 00ff00b7 lui ra,0xff0
|
||||
148: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
14c: 00000013 nop
|
||||
150: 0f00ff13 andi t5,ra,240
|
||||
154: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
158: 00200293 li t0,2
|
||||
15c: fe5214e3 bne tp,t0,144 <test_11+0x4>
|
||||
160: 0f000e93 li t4,240
|
||||
164: 00b00193 li gp,11
|
||||
168: 07df1063 bne t5,t4,1c8 <fail>
|
||||
0000013c <test_11>:
|
||||
13c: 00000213 li tp,0
|
||||
140: 00ff00b7 lui ra,0xff0
|
||||
144: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
148: 00000013 nop
|
||||
14c: 0f00ff13 andi t5,ra,240
|
||||
150: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
154: 00200293 li t0,2
|
||||
158: fe5214e3 bne tp,t0,140 <test_11+0x4>
|
||||
15c: 0f000e93 li t4,240
|
||||
160: 00b00193 li gp,11
|
||||
164: 07df1063 bne t5,t4,1c4 <fail>
|
||||
|
||||
0000016c <test_12>:
|
||||
16c: 00000213 li tp,0
|
||||
170: f00ff0b7 lui ra,0xf00ff
|
||||
174: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
00000168 <test_12>:
|
||||
168: 00000213 li tp,0
|
||||
16c: f00ff0b7 lui ra,0xf00ff
|
||||
170: 00f08093 addi ra,ra,15 # f00ff00f <begin_signature+0xf00fe00f>
|
||||
174: 00000013 nop
|
||||
178: 00000013 nop
|
||||
17c: 00000013 nop
|
||||
180: 70f0ff13 andi t5,ra,1807
|
||||
184: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
188: 00200293 li t0,2
|
||||
18c: fe5212e3 bne tp,t0,170 <test_12+0x4>
|
||||
190: 00f00e93 li t4,15
|
||||
194: 00c00193 li gp,12
|
||||
198: 03df1863 bne t5,t4,1c8 <fail>
|
||||
17c: 70f0ff13 andi t5,ra,1807
|
||||
180: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
184: 00200293 li t0,2
|
||||
188: fe5212e3 bne tp,t0,16c <test_12+0x4>
|
||||
18c: 00f00e93 li t4,15
|
||||
190: 00c00193 li gp,12
|
||||
194: 03df1863 bne t5,t4,1c4 <fail>
|
||||
|
||||
0000019c <test_13>:
|
||||
19c: 0f007093 andi ra,zero,240
|
||||
1a0: 00000e93 li t4,0
|
||||
1a4: 00d00193 li gp,13
|
||||
1a8: 03d09063 bne ra,t4,1c8 <fail>
|
||||
00000198 <test_13>:
|
||||
198: 0f007093 andi ra,zero,240
|
||||
19c: 00000e93 li t4,0
|
||||
1a0: 00d00193 li gp,13
|
||||
1a4: 03d09063 bne ra,t4,1c4 <fail>
|
||||
|
||||
000001ac <test_14>:
|
||||
1ac: 00ff00b7 lui ra,0xff0
|
||||
1b0: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
1b4: 70f0f013 andi zero,ra,1807
|
||||
1b8: 00000e93 li t4,0
|
||||
1bc: 00e00193 li gp,14
|
||||
1c0: 01d01463 bne zero,t4,1c8 <fail>
|
||||
1c4: 00301863 bne zero,gp,1d4 <pass>
|
||||
000001a8 <test_14>:
|
||||
1a8: 00ff00b7 lui ra,0xff0
|
||||
1ac: 0ff08093 addi ra,ra,255 # ff00ff <begin_signature+0xfef0ff>
|
||||
1b0: 70f0f013 andi zero,ra,1807
|
||||
1b4: 00000e93 li t4,0
|
||||
1b8: 00e00193 li gp,14
|
||||
1bc: 01d01463 bne zero,t4,1c4 <fail>
|
||||
1c0: 00301663 bne zero,gp,1cc <pass>
|
||||
|
||||
000001c8 <fail>:
|
||||
1c8: 00000d93 li s11,0
|
||||
1cc: 00100d13 li s10,1
|
||||
000001c4 <fail>:
|
||||
1c4: 1000d073 csrwi sstatus,1
|
||||
|
||||
000001d0 <loop_fail>:
|
||||
1d0: 0000006f j 1d0 <loop_fail>
|
||||
000001c8 <loop_fail>:
|
||||
1c8: 0000006f j 1c8 <loop_fail>
|
||||
|
||||
000001d4 <pass>:
|
||||
1d4: 00100d93 li s11,1
|
||||
1d8: 00100d13 li s10,1
|
||||
000001cc <pass>:
|
||||
1cc: 1001d073 csrwi sstatus,3
|
||||
|
||||
000001dc <loop_pass>:
|
||||
1dc: 0000006f j 1dc <loop_pass>
|
||||
000001d0 <loop_pass>:
|
||||
1d0: 0000006f j 1d0 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -172,14 +169,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
ff0100b7
|
||||
f0008093
|
||||
f0f0ff13
|
||||
|
@ -111,12 +110,10 @@ fe5212e3
|
|||
00000e93
|
||||
00e00193
|
||||
01d01463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -160,3 +157,6 @@ fe5212e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,34 +1,34 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0
|
||||
13 FF F0 F0 B7 0E 01 FF 93 8E 0E F0 93 01 20 00
|
||||
63 14 DF 1B B7 10 F0 0F 93 80 00 FF 13 FF 00 0F
|
||||
93 0E 00 0F 93 01 30 00 63 18 DF 19 B7 00 FF 00
|
||||
93 80 F0 0F 13 FF F0 70 93 0E F0 00 93 01 40 00
|
||||
63 1C DF 17 B7 F0 0F F0 93 80 F0 00 13 FF 00 0F
|
||||
93 0E 00 00 93 01 50 00 63 10 DF 17 B7 00 01 FF
|
||||
93 80 00 F0 93 F0 00 0F 93 0E 00 00 93 01 60 00
|
||||
63 94 D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF
|
||||
13 FF F0 70 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 0E 00 70 93 01 70 00 63 1E D3 11
|
||||
13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 FF 00 0F
|
||||
73 50 00 10 B7 00 01 FF 93 80 00 F0 13 FF F0 F0
|
||||
B7 0E 01 FF 93 8E 0E F0 93 01 20 00 63 14 DF 1B
|
||||
B7 10 F0 0F 93 80 00 FF 13 FF 00 0F 93 0E 00 0F
|
||||
93 01 30 00 63 18 DF 19 B7 00 FF 00 93 80 F0 0F
|
||||
13 FF F0 70 93 0E F0 00 93 01 40 00 63 1C DF 17
|
||||
B7 F0 0F F0 93 80 F0 00 13 FF 00 0F 93 0E 00 00
|
||||
93 01 50 00 63 10 DF 17 B7 00 01 FF 93 80 00 F0
|
||||
93 F0 00 0F 93 0E 00 00 93 01 60 00 63 94 D0 15
|
||||
13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 FF F0 70
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 0E 00 70 93 01 70 00 63 1E D3 11 13 02 00 00
|
||||
B7 00 FF 00 93 80 F0 0F 13 FF 00 0F 13 00 00 00
|
||||
13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 0E 00 0F 93 01 80 00 63 16 D3 0F 13 02 00 00
|
||||
B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 13 00 00 00
|
||||
13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 0E 00 0F 93 01 80 00 63 16 D3 0F
|
||||
13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 FF F0 F0
|
||||
13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00
|
||||
93 01 90 00 63 1A D3 0B 13 02 00 00 B7 10 F0 0F
|
||||
93 80 00 FF 13 FF F0 70 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 0E 00 70 93 01 A0 00 63 16 DF 09
|
||||
13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 00 00 00
|
||||
13 FF 00 0F 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 0E 00 0F 93 01 B0 00 63 10 DF 07 13 02 00 00
|
||||
B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00
|
||||
13 FF F0 70 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 0E F0 00 93 01 C0 00 63 18 DF 03 93 70 00 0F
|
||||
93 0E 00 00 93 01 D0 00 63 90 D0 03 B7 00 FF 00
|
||||
93 80 F0 0F 13 F0 F0 70 93 0E 00 00 93 01 E0 00
|
||||
63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 90 00
|
||||
63 1A D3 0B 13 02 00 00 B7 10 F0 0F 93 80 00 FF
|
||||
13 FF F0 70 13 02 12 00 93 02 20 00 E3 16 52 FE
|
||||
93 0E 00 70 93 01 A0 00 63 16 DF 09 13 02 00 00
|
||||
B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 FF 00 0F
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 00 0F
|
||||
93 01 B0 00 63 10 DF 07 13 02 00 00 B7 F0 0F F0
|
||||
93 80 F0 00 13 00 00 00 13 00 00 00 13 FF F0 70
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 00
|
||||
93 01 C0 00 63 18 DF 03 93 70 00 0F 93 0E 00 00
|
||||
93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F
|
||||
13 F0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,13 +5,13 @@ generated/rv32ui-p-auipc: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
00000004 <test_2>:
|
||||
4: 00000013 nop
|
||||
8: 00002517 auipc a0,0x2
|
||||
c: 71c50513 addi a0,a0,1820 # 2724 <begin_signature+0x1724>
|
||||
10: 004005ef jal a1,14 <test_2+0xc>
|
||||
10: 004005ef jal a1,14 <test_2+0x10>
|
||||
14: 40b50533 sub a0,a0,a1
|
||||
18: 00002eb7 lui t4,0x2
|
||||
1c: 710e8e93 addi t4,t4,1808 # 2710 <begin_signature+0x1710>
|
||||
|
@ -27,21 +27,19 @@ Disassembly of section .text.init:
|
|||
3c: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 <begin_signature+0xffffc8f0>
|
||||
40: 00300193 li gp,3
|
||||
44: 01d51463 bne a0,t4,4c <fail>
|
||||
48: 00301863 bne zero,gp,58 <pass>
|
||||
48: 00301663 bne zero,gp,54 <pass>
|
||||
|
||||
0000004c <fail>:
|
||||
4c: 00000d93 li s11,0
|
||||
50: 00100d13 li s10,1
|
||||
4c: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000054 <loop_fail>:
|
||||
54: 0000006f j 54 <loop_fail>
|
||||
00000050 <loop_fail>:
|
||||
50: 0000006f j 50 <loop_fail>
|
||||
|
||||
00000058 <pass>:
|
||||
58: 00100d93 li s11,1
|
||||
5c: 00100d13 li s10,1
|
||||
00000054 <pass>:
|
||||
54: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000060 <loop_pass>:
|
||||
60: 0000006f j 60 <loop_pass>
|
||||
00000058 <loop_pass>:
|
||||
58: 0000006f j 58 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -55,14 +53,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,5 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00000013
|
||||
00002517
|
||||
71c50513
|
||||
004005ef
|
||||
|
@ -16,12 +16,10 @@ ffffeeb7
|
|||
8f0e8e93
|
||||
00300193
|
||||
01d51463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -48,3 +46,5 @@ ffffeeb7
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,12 +1,12 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 17 25 00 00 13 05 C5 71
|
||||
73 50 00 10 13 00 00 00 17 25 00 00 13 05 C5 71
|
||||
EF 05 40 00 33 05 B5 40 B7 2E 00 00 93 8E 0E 71
|
||||
93 01 20 00 63 14 D5 03 17 E5 FF FF 13 05 C5 8F
|
||||
EF 05 40 00 33 05 B5 40 B7 EE FF FF 93 8E 0E 8F
|
||||
93 01 30 00 63 14 D5 01 63 18 30 00 93 0D 00 00
|
||||
13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00
|
||||
93 01 30 00 63 14 D5 01 63 16 30 00 73 D0 00 10
|
||||
6F 00 00 00 73 D0 01 10 6F 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
@00000080
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,238 +5,235 @@ generated/rv32ui-p-beq: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 00000113 li sp,0
|
||||
14: 00208663 beq ra,sp,20 <test_2+0x18>
|
||||
18: 2a301863 bne zero,gp,2c8 <fail>
|
||||
1c: 00301663 bne zero,gp,28 <test_3>
|
||||
20: fe208ee3 beq ra,sp,1c <test_2+0x14>
|
||||
24: 2a301263 bne zero,gp,2c8 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 00000113 li sp,0
|
||||
10: 00208663 beq ra,sp,1c <test_2+0x18>
|
||||
14: 2a301863 bne zero,gp,2c4 <fail>
|
||||
18: 00301663 bne zero,gp,24 <test_3>
|
||||
1c: fe208ee3 beq ra,sp,18 <test_2+0x14>
|
||||
20: 2a301263 bne zero,gp,2c4 <fail>
|
||||
|
||||
00000028 <test_3>:
|
||||
28: 00300193 li gp,3
|
||||
2c: 00100093 li ra,1
|
||||
30: 00100113 li sp,1
|
||||
34: 00208663 beq ra,sp,40 <test_3+0x18>
|
||||
38: 28301863 bne zero,gp,2c8 <fail>
|
||||
3c: 00301663 bne zero,gp,48 <test_4>
|
||||
40: fe208ee3 beq ra,sp,3c <test_3+0x14>
|
||||
44: 28301263 bne zero,gp,2c8 <fail>
|
||||
00000024 <test_3>:
|
||||
24: 00300193 li gp,3
|
||||
28: 00100093 li ra,1
|
||||
2c: 00100113 li sp,1
|
||||
30: 00208663 beq ra,sp,3c <test_3+0x18>
|
||||
34: 28301863 bne zero,gp,2c4 <fail>
|
||||
38: 00301663 bne zero,gp,44 <test_4>
|
||||
3c: fe208ee3 beq ra,sp,38 <test_3+0x14>
|
||||
40: 28301263 bne zero,gp,2c4 <fail>
|
||||
|
||||
00000048 <test_4>:
|
||||
48: 00400193 li gp,4
|
||||
4c: fff00093 li ra,-1
|
||||
50: fff00113 li sp,-1
|
||||
54: 00208663 beq ra,sp,60 <test_4+0x18>
|
||||
58: 26301863 bne zero,gp,2c8 <fail>
|
||||
5c: 00301663 bne zero,gp,68 <test_5>
|
||||
60: fe208ee3 beq ra,sp,5c <test_4+0x14>
|
||||
64: 26301263 bne zero,gp,2c8 <fail>
|
||||
00000044 <test_4>:
|
||||
44: 00400193 li gp,4
|
||||
48: fff00093 li ra,-1
|
||||
4c: fff00113 li sp,-1
|
||||
50: 00208663 beq ra,sp,5c <test_4+0x18>
|
||||
54: 26301863 bne zero,gp,2c4 <fail>
|
||||
58: 00301663 bne zero,gp,64 <test_5>
|
||||
5c: fe208ee3 beq ra,sp,58 <test_4+0x14>
|
||||
60: 26301263 bne zero,gp,2c4 <fail>
|
||||
|
||||
00000068 <test_5>:
|
||||
68: 00500193 li gp,5
|
||||
6c: 00000093 li ra,0
|
||||
70: 00100113 li sp,1
|
||||
74: 00208463 beq ra,sp,7c <test_5+0x14>
|
||||
78: 00301463 bne zero,gp,80 <test_5+0x18>
|
||||
7c: 24301663 bne zero,gp,2c8 <fail>
|
||||
80: fe208ee3 beq ra,sp,7c <test_5+0x14>
|
||||
00000064 <test_5>:
|
||||
64: 00500193 li gp,5
|
||||
68: 00000093 li ra,0
|
||||
6c: 00100113 li sp,1
|
||||
70: 00208463 beq ra,sp,78 <test_5+0x14>
|
||||
74: 00301463 bne zero,gp,7c <test_5+0x18>
|
||||
78: 24301663 bne zero,gp,2c4 <fail>
|
||||
7c: fe208ee3 beq ra,sp,78 <test_5+0x14>
|
||||
|
||||
00000084 <test_6>:
|
||||
84: 00600193 li gp,6
|
||||
88: 00100093 li ra,1
|
||||
8c: 00000113 li sp,0
|
||||
90: 00208463 beq ra,sp,98 <test_6+0x14>
|
||||
94: 00301463 bne zero,gp,9c <test_6+0x18>
|
||||
98: 22301863 bne zero,gp,2c8 <fail>
|
||||
9c: fe208ee3 beq ra,sp,98 <test_6+0x14>
|
||||
00000080 <test_6>:
|
||||
80: 00600193 li gp,6
|
||||
84: 00100093 li ra,1
|
||||
88: 00000113 li sp,0
|
||||
8c: 00208463 beq ra,sp,94 <test_6+0x14>
|
||||
90: 00301463 bne zero,gp,98 <test_6+0x18>
|
||||
94: 22301863 bne zero,gp,2c4 <fail>
|
||||
98: fe208ee3 beq ra,sp,94 <test_6+0x14>
|
||||
|
||||
000000a0 <test_7>:
|
||||
a0: 00700193 li gp,7
|
||||
a4: fff00093 li ra,-1
|
||||
a8: 00100113 li sp,1
|
||||
ac: 00208463 beq ra,sp,b4 <test_7+0x14>
|
||||
b0: 00301463 bne zero,gp,b8 <test_7+0x18>
|
||||
b4: 20301a63 bne zero,gp,2c8 <fail>
|
||||
b8: fe208ee3 beq ra,sp,b4 <test_7+0x14>
|
||||
0000009c <test_7>:
|
||||
9c: 00700193 li gp,7
|
||||
a0: fff00093 li ra,-1
|
||||
a4: 00100113 li sp,1
|
||||
a8: 00208463 beq ra,sp,b0 <test_7+0x14>
|
||||
ac: 00301463 bne zero,gp,b4 <test_7+0x18>
|
||||
b0: 20301a63 bne zero,gp,2c4 <fail>
|
||||
b4: fe208ee3 beq ra,sp,b0 <test_7+0x14>
|
||||
|
||||
000000bc <test_8>:
|
||||
bc: 00800193 li gp,8
|
||||
c0: 00100093 li ra,1
|
||||
c4: fff00113 li sp,-1
|
||||
c8: 00208463 beq ra,sp,d0 <test_8+0x14>
|
||||
cc: 00301463 bne zero,gp,d4 <test_8+0x18>
|
||||
d0: 1e301c63 bne zero,gp,2c8 <fail>
|
||||
d4: fe208ee3 beq ra,sp,d0 <test_8+0x14>
|
||||
000000b8 <test_8>:
|
||||
b8: 00800193 li gp,8
|
||||
bc: 00100093 li ra,1
|
||||
c0: fff00113 li sp,-1
|
||||
c4: 00208463 beq ra,sp,cc <test_8+0x14>
|
||||
c8: 00301463 bne zero,gp,d0 <test_8+0x18>
|
||||
cc: 1e301c63 bne zero,gp,2c4 <fail>
|
||||
d0: fe208ee3 beq ra,sp,cc <test_8+0x14>
|
||||
|
||||
000000d8 <test_9>:
|
||||
d8: 00900193 li gp,9
|
||||
dc: 00000213 li tp,0
|
||||
e0: 00000093 li ra,0
|
||||
e4: fff00113 li sp,-1
|
||||
e8: 1e208063 beq ra,sp,2c8 <fail>
|
||||
ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
f0: 00200293 li t0,2
|
||||
f4: fe5216e3 bne tp,t0,e0 <test_9+0x8>
|
||||
000000d4 <test_9>:
|
||||
d4: 00900193 li gp,9
|
||||
d8: 00000213 li tp,0
|
||||
dc: 00000093 li ra,0
|
||||
e0: fff00113 li sp,-1
|
||||
e4: 1e208063 beq ra,sp,2c4 <fail>
|
||||
e8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
ec: 00200293 li t0,2
|
||||
f0: fe5216e3 bne tp,t0,dc <test_9+0x8>
|
||||
|
||||
000000f8 <test_10>:
|
||||
f8: 00a00193 li gp,10
|
||||
fc: 00000213 li tp,0
|
||||
100: 00000093 li ra,0
|
||||
104: fff00113 li sp,-1
|
||||
108: 00000013 nop
|
||||
10c: 1a208e63 beq ra,sp,2c8 <fail>
|
||||
110: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
114: 00200293 li t0,2
|
||||
118: fe5214e3 bne tp,t0,100 <test_10+0x8>
|
||||
000000f4 <test_10>:
|
||||
f4: 00a00193 li gp,10
|
||||
f8: 00000213 li tp,0
|
||||
fc: 00000093 li ra,0
|
||||
100: fff00113 li sp,-1
|
||||
104: 00000013 nop
|
||||
108: 1a208e63 beq ra,sp,2c4 <fail>
|
||||
10c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
110: 00200293 li t0,2
|
||||
114: fe5214e3 bne tp,t0,fc <test_10+0x8>
|
||||
|
||||
0000011c <test_11>:
|
||||
11c: 00b00193 li gp,11
|
||||
120: 00000213 li tp,0
|
||||
124: 00000093 li ra,0
|
||||
128: fff00113 li sp,-1
|
||||
00000118 <test_11>:
|
||||
118: 00b00193 li gp,11
|
||||
11c: 00000213 li tp,0
|
||||
120: 00000093 li ra,0
|
||||
124: fff00113 li sp,-1
|
||||
128: 00000013 nop
|
||||
12c: 00000013 nop
|
||||
130: 00000013 nop
|
||||
134: 18208a63 beq ra,sp,2c8 <fail>
|
||||
138: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
13c: 00200293 li t0,2
|
||||
140: fe5212e3 bne tp,t0,124 <test_11+0x8>
|
||||
130: 18208a63 beq ra,sp,2c4 <fail>
|
||||
134: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
138: 00200293 li t0,2
|
||||
13c: fe5212e3 bne tp,t0,120 <test_11+0x8>
|
||||
|
||||
00000144 <test_12>:
|
||||
144: 00c00193 li gp,12
|
||||
148: 00000213 li tp,0
|
||||
14c: 00000093 li ra,0
|
||||
150: 00000013 nop
|
||||
154: fff00113 li sp,-1
|
||||
158: 16208863 beq ra,sp,2c8 <fail>
|
||||
15c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
160: 00200293 li t0,2
|
||||
164: fe5214e3 bne tp,t0,14c <test_12+0x8>
|
||||
00000140 <test_12>:
|
||||
140: 00c00193 li gp,12
|
||||
144: 00000213 li tp,0
|
||||
148: 00000093 li ra,0
|
||||
14c: 00000013 nop
|
||||
150: fff00113 li sp,-1
|
||||
154: 16208863 beq ra,sp,2c4 <fail>
|
||||
158: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
15c: 00200293 li t0,2
|
||||
160: fe5214e3 bne tp,t0,148 <test_12+0x8>
|
||||
|
||||
00000168 <test_13>:
|
||||
168: 00d00193 li gp,13
|
||||
16c: 00000213 li tp,0
|
||||
170: 00000093 li ra,0
|
||||
174: 00000013 nop
|
||||
178: fff00113 li sp,-1
|
||||
17c: 00000013 nop
|
||||
180: 14208463 beq ra,sp,2c8 <fail>
|
||||
184: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
188: 00200293 li t0,2
|
||||
18c: fe5212e3 bne tp,t0,170 <test_13+0x8>
|
||||
00000164 <test_13>:
|
||||
164: 00d00193 li gp,13
|
||||
168: 00000213 li tp,0
|
||||
16c: 00000093 li ra,0
|
||||
170: 00000013 nop
|
||||
174: fff00113 li sp,-1
|
||||
178: 00000013 nop
|
||||
17c: 14208463 beq ra,sp,2c4 <fail>
|
||||
180: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
184: 00200293 li t0,2
|
||||
188: fe5212e3 bne tp,t0,16c <test_13+0x8>
|
||||
|
||||
00000190 <test_14>:
|
||||
190: 00e00193 li gp,14
|
||||
194: 00000213 li tp,0
|
||||
198: 00000093 li ra,0
|
||||
0000018c <test_14>:
|
||||
18c: 00e00193 li gp,14
|
||||
190: 00000213 li tp,0
|
||||
194: 00000093 li ra,0
|
||||
198: 00000013 nop
|
||||
19c: 00000013 nop
|
||||
1a0: 00000013 nop
|
||||
1a4: fff00113 li sp,-1
|
||||
1a8: 12208063 beq ra,sp,2c8 <fail>
|
||||
1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b0: 00200293 li t0,2
|
||||
1b4: fe5212e3 bne tp,t0,198 <test_14+0x8>
|
||||
1a0: fff00113 li sp,-1
|
||||
1a4: 12208063 beq ra,sp,2c4 <fail>
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fe5212e3 bne tp,t0,194 <test_14+0x8>
|
||||
|
||||
000001b8 <test_15>:
|
||||
1b8: 00f00193 li gp,15
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00000093 li ra,0
|
||||
1c4: fff00113 li sp,-1
|
||||
1c8: 10208063 beq ra,sp,2c8 <fail>
|
||||
1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d0: 00200293 li t0,2
|
||||
1d4: fe5216e3 bne tp,t0,1c0 <test_15+0x8>
|
||||
000001b4 <test_15>:
|
||||
1b4: 00f00193 li gp,15
|
||||
1b8: 00000213 li tp,0
|
||||
1bc: 00000093 li ra,0
|
||||
1c0: fff00113 li sp,-1
|
||||
1c4: 10208063 beq ra,sp,2c4 <fail>
|
||||
1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1cc: 00200293 li t0,2
|
||||
1d0: fe5216e3 bne tp,t0,1bc <test_15+0x8>
|
||||
|
||||
000001d8 <test_16>:
|
||||
1d8: 01000193 li gp,16
|
||||
1dc: 00000213 li tp,0
|
||||
1e0: 00000093 li ra,0
|
||||
1e4: fff00113 li sp,-1
|
||||
1e8: 00000013 nop
|
||||
1ec: 0c208e63 beq ra,sp,2c8 <fail>
|
||||
1f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f4: 00200293 li t0,2
|
||||
1f8: fe5214e3 bne tp,t0,1e0 <test_16+0x8>
|
||||
000001d4 <test_16>:
|
||||
1d4: 01000193 li gp,16
|
||||
1d8: 00000213 li tp,0
|
||||
1dc: 00000093 li ra,0
|
||||
1e0: fff00113 li sp,-1
|
||||
1e4: 00000013 nop
|
||||
1e8: 0c208e63 beq ra,sp,2c4 <fail>
|
||||
1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f0: 00200293 li t0,2
|
||||
1f4: fe5214e3 bne tp,t0,1dc <test_16+0x8>
|
||||
|
||||
000001fc <test_17>:
|
||||
1fc: 01100193 li gp,17
|
||||
200: 00000213 li tp,0
|
||||
204: 00000093 li ra,0
|
||||
208: fff00113 li sp,-1
|
||||
000001f8 <test_17>:
|
||||
1f8: 01100193 li gp,17
|
||||
1fc: 00000213 li tp,0
|
||||
200: 00000093 li ra,0
|
||||
204: fff00113 li sp,-1
|
||||
208: 00000013 nop
|
||||
20c: 00000013 nop
|
||||
210: 00000013 nop
|
||||
214: 0a208a63 beq ra,sp,2c8 <fail>
|
||||
218: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
21c: 00200293 li t0,2
|
||||
220: fe5212e3 bne tp,t0,204 <test_17+0x8>
|
||||
210: 0a208a63 beq ra,sp,2c4 <fail>
|
||||
214: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
218: 00200293 li t0,2
|
||||
21c: fe5212e3 bne tp,t0,200 <test_17+0x8>
|
||||
|
||||
00000224 <test_18>:
|
||||
224: 01200193 li gp,18
|
||||
228: 00000213 li tp,0
|
||||
22c: 00000093 li ra,0
|
||||
230: 00000013 nop
|
||||
234: fff00113 li sp,-1
|
||||
238: 08208863 beq ra,sp,2c8 <fail>
|
||||
23c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
240: 00200293 li t0,2
|
||||
244: fe5214e3 bne tp,t0,22c <test_18+0x8>
|
||||
00000220 <test_18>:
|
||||
220: 01200193 li gp,18
|
||||
224: 00000213 li tp,0
|
||||
228: 00000093 li ra,0
|
||||
22c: 00000013 nop
|
||||
230: fff00113 li sp,-1
|
||||
234: 08208863 beq ra,sp,2c4 <fail>
|
||||
238: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
23c: 00200293 li t0,2
|
||||
240: fe5214e3 bne tp,t0,228 <test_18+0x8>
|
||||
|
||||
00000248 <test_19>:
|
||||
248: 01300193 li gp,19
|
||||
24c: 00000213 li tp,0
|
||||
250: 00000093 li ra,0
|
||||
254: 00000013 nop
|
||||
258: fff00113 li sp,-1
|
||||
25c: 00000013 nop
|
||||
260: 06208463 beq ra,sp,2c8 <fail>
|
||||
264: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
268: 00200293 li t0,2
|
||||
26c: fe5212e3 bne tp,t0,250 <test_19+0x8>
|
||||
00000244 <test_19>:
|
||||
244: 01300193 li gp,19
|
||||
248: 00000213 li tp,0
|
||||
24c: 00000093 li ra,0
|
||||
250: 00000013 nop
|
||||
254: fff00113 li sp,-1
|
||||
258: 00000013 nop
|
||||
25c: 06208463 beq ra,sp,2c4 <fail>
|
||||
260: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
264: 00200293 li t0,2
|
||||
268: fe5212e3 bne tp,t0,24c <test_19+0x8>
|
||||
|
||||
00000270 <test_20>:
|
||||
270: 01400193 li gp,20
|
||||
274: 00000213 li tp,0
|
||||
278: 00000093 li ra,0
|
||||
0000026c <test_20>:
|
||||
26c: 01400193 li gp,20
|
||||
270: 00000213 li tp,0
|
||||
274: 00000093 li ra,0
|
||||
278: 00000013 nop
|
||||
27c: 00000013 nop
|
||||
280: 00000013 nop
|
||||
284: fff00113 li sp,-1
|
||||
288: 04208063 beq ra,sp,2c8 <fail>
|
||||
28c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
290: 00200293 li t0,2
|
||||
294: fe5212e3 bne tp,t0,278 <test_20+0x8>
|
||||
280: fff00113 li sp,-1
|
||||
284: 04208063 beq ra,sp,2c4 <fail>
|
||||
288: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
28c: 00200293 li t0,2
|
||||
290: fe5212e3 bne tp,t0,274 <test_20+0x8>
|
||||
|
||||
00000298 <test_21>:
|
||||
298: 00100093 li ra,1
|
||||
29c: 00000a63 beqz zero,2b0 <test_21+0x18>
|
||||
00000294 <test_21>:
|
||||
294: 00100093 li ra,1
|
||||
298: 00000a63 beqz zero,2ac <test_21+0x18>
|
||||
29c: 00108093 addi ra,ra,1
|
||||
2a0: 00108093 addi ra,ra,1
|
||||
2a4: 00108093 addi ra,ra,1
|
||||
2a8: 00108093 addi ra,ra,1
|
||||
2ac: 00108093 addi ra,ra,1
|
||||
2b0: 00108093 addi ra,ra,1
|
||||
2b4: 00108093 addi ra,ra,1
|
||||
2b8: 00300e93 li t4,3
|
||||
2bc: 01500193 li gp,21
|
||||
2c0: 01d09463 bne ra,t4,2c8 <fail>
|
||||
2c4: 00301863 bne zero,gp,2d4 <pass>
|
||||
2b4: 00300e93 li t4,3
|
||||
2b8: 01500193 li gp,21
|
||||
2bc: 01d09463 bne ra,t4,2c4 <fail>
|
||||
2c0: 00301663 bne zero,gp,2cc <pass>
|
||||
|
||||
000002c8 <fail>:
|
||||
2c8: 00000d93 li s11,0
|
||||
2cc: 00100d13 li s10,1
|
||||
000002c4 <fail>:
|
||||
2c4: 1000d073 csrwi sstatus,1
|
||||
|
||||
000002d0 <loop_fail>:
|
||||
2d0: 0000006f j 2d0 <loop_fail>
|
||||
000002c8 <loop_fail>:
|
||||
2c8: 0000006f j 2c8 <loop_fail>
|
||||
|
||||
000002d4 <pass>:
|
||||
2d4: 00100d93 li s11,1
|
||||
2d8: 00100d13 li s10,1
|
||||
000002cc <pass>:
|
||||
2cc: 1001d073 csrwi sstatus,3
|
||||
|
||||
000002dc <loop_pass>:
|
||||
2dc: 0000006f j 2dc <loop_pass>
|
||||
000002d0 <loop_pass>:
|
||||
2d0: 0000006f j 2d0 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -250,14 +247,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
00000113
|
||||
|
@ -175,12 +174,10 @@ fe5212e3
|
|||
00300e93
|
||||
01500193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -224,3 +221,6 @@ fe5212e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,50 +1,50 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
13 01 00 00 63 86 20 00 63 18 30 2A 63 16 30 00
|
||||
E3 8E 20 FE 63 12 30 2A 93 01 30 00 93 00 10 00
|
||||
13 01 10 00 63 86 20 00 63 18 30 28 63 16 30 00
|
||||
E3 8E 20 FE 63 12 30 28 93 01 40 00 93 00 F0 FF
|
||||
13 01 F0 FF 63 86 20 00 63 18 30 26 63 16 30 00
|
||||
E3 8E 20 FE 63 12 30 26 93 01 50 00 93 00 00 00
|
||||
13 01 10 00 63 84 20 00 63 14 30 00 63 16 30 24
|
||||
E3 8E 20 FE 93 01 60 00 93 00 10 00 13 01 00 00
|
||||
63 84 20 00 63 14 30 00 63 18 30 22 E3 8E 20 FE
|
||||
93 01 70 00 93 00 F0 FF 13 01 10 00 63 84 20 00
|
||||
63 14 30 00 63 1A 30 20 E3 8E 20 FE 93 01 80 00
|
||||
93 00 10 00 13 01 F0 FF 63 84 20 00 63 14 30 00
|
||||
63 1C 30 1E E3 8E 20 FE 93 01 90 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 63 80 20 1E 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 1A
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00
|
||||
13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
13 00 00 00 63 8A 20 18 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 88 20 16 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
63 84 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 80 20 12 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 63 80 20 10 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 0C
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01
|
||||
13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
13 00 00 00 63 8A 20 0A 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 88 20 08 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
63 84 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 80 20 04 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 00 10 00 63 0A 00 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 13 01 00 00
|
||||
63 86 20 00 63 18 30 2A 63 16 30 00 E3 8E 20 FE
|
||||
63 12 30 2A 93 01 30 00 93 00 10 00 13 01 10 00
|
||||
63 86 20 00 63 18 30 28 63 16 30 00 E3 8E 20 FE
|
||||
63 12 30 28 93 01 40 00 93 00 F0 FF 13 01 F0 FF
|
||||
63 86 20 00 63 18 30 26 63 16 30 00 E3 8E 20 FE
|
||||
63 12 30 26 93 01 50 00 93 00 00 00 13 01 10 00
|
||||
63 84 20 00 63 14 30 00 63 16 30 24 E3 8E 20 FE
|
||||
93 01 60 00 93 00 10 00 13 01 00 00 63 84 20 00
|
||||
63 14 30 00 63 18 30 22 E3 8E 20 FE 93 01 70 00
|
||||
93 00 F0 FF 13 01 10 00 63 84 20 00 63 14 30 00
|
||||
63 1A 30 20 E3 8E 20 FE 93 01 80 00 93 00 10 00
|
||||
13 01 F0 FF 63 84 20 00 63 14 30 00 63 1C 30 1E
|
||||
E3 8E 20 FE 93 01 90 00 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 63 80 20 1E 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 01 A0 00 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 13 00 00 00 63 8E 20 1A 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 B0 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00
|
||||
63 8A 20 18 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 C0 00 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 88 20 16 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 D0 00 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 13 00 00 00 63 84 20 14
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 E0 00
|
||||
13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 80 20 12 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 63 80 20 10 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 01 00 01 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 13 00 00 00 63 8E 20 0C 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 10 01 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00
|
||||
63 8A 20 0A 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 20 01 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 88 20 08 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 30 01 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 13 00 00 00 63 84 20 06
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 40 01
|
||||
13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 80 20 04 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 00 10 00 63 0A 00 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01
|
||||
63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
93 80 10 00 93 0E 30 00 93 01 50 01 63 94 D0 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,269 +5,265 @@ generated/rv32ui-p-bge: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 00000113 li sp,0
|
||||
14: 0020d663 bge ra,sp,20 <test_2+0x18>
|
||||
18: 30301863 bne zero,gp,328 <fail>
|
||||
1c: 00301663 bne zero,gp,28 <test_3>
|
||||
20: fe20dee3 bge ra,sp,1c <test_2+0x14>
|
||||
24: 30301263 bne zero,gp,328 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 00000113 li sp,0
|
||||
10: 0020d663 bge ra,sp,1c <test_2+0x18>
|
||||
14: 30301863 bne zero,gp,324 <fail>
|
||||
18: 00301663 bne zero,gp,24 <test_3>
|
||||
1c: fe20dee3 bge ra,sp,18 <test_2+0x14>
|
||||
20: 30301263 bne zero,gp,324 <fail>
|
||||
|
||||
00000028 <test_3>:
|
||||
28: 00300193 li gp,3
|
||||
2c: 00100093 li ra,1
|
||||
30: 00100113 li sp,1
|
||||
34: 0020d663 bge ra,sp,40 <test_3+0x18>
|
||||
38: 2e301863 bne zero,gp,328 <fail>
|
||||
3c: 00301663 bne zero,gp,48 <test_4>
|
||||
40: fe20dee3 bge ra,sp,3c <test_3+0x14>
|
||||
44: 2e301263 bne zero,gp,328 <fail>
|
||||
00000024 <test_3>:
|
||||
24: 00300193 li gp,3
|
||||
28: 00100093 li ra,1
|
||||
2c: 00100113 li sp,1
|
||||
30: 0020d663 bge ra,sp,3c <test_3+0x18>
|
||||
34: 2e301863 bne zero,gp,324 <fail>
|
||||
38: 00301663 bne zero,gp,44 <test_4>
|
||||
3c: fe20dee3 bge ra,sp,38 <test_3+0x14>
|
||||
40: 2e301263 bne zero,gp,324 <fail>
|
||||
|
||||
00000048 <test_4>:
|
||||
48: 00400193 li gp,4
|
||||
4c: fff00093 li ra,-1
|
||||
50: fff00113 li sp,-1
|
||||
54: 0020d663 bge ra,sp,60 <test_4+0x18>
|
||||
58: 2c301863 bne zero,gp,328 <fail>
|
||||
5c: 00301663 bne zero,gp,68 <test_5>
|
||||
60: fe20dee3 bge ra,sp,5c <test_4+0x14>
|
||||
64: 2c301263 bne zero,gp,328 <fail>
|
||||
00000044 <test_4>:
|
||||
44: 00400193 li gp,4
|
||||
48: fff00093 li ra,-1
|
||||
4c: fff00113 li sp,-1
|
||||
50: 0020d663 bge ra,sp,5c <test_4+0x18>
|
||||
54: 2c301863 bne zero,gp,324 <fail>
|
||||
58: 00301663 bne zero,gp,64 <test_5>
|
||||
5c: fe20dee3 bge ra,sp,58 <test_4+0x14>
|
||||
60: 2c301263 bne zero,gp,324 <fail>
|
||||
|
||||
00000068 <test_5>:
|
||||
68: 00500193 li gp,5
|
||||
6c: 00100093 li ra,1
|
||||
70: 00000113 li sp,0
|
||||
74: 0020d663 bge ra,sp,80 <test_5+0x18>
|
||||
78: 2a301863 bne zero,gp,328 <fail>
|
||||
7c: 00301663 bne zero,gp,88 <test_6>
|
||||
80: fe20dee3 bge ra,sp,7c <test_5+0x14>
|
||||
84: 2a301263 bne zero,gp,328 <fail>
|
||||
00000064 <test_5>:
|
||||
64: 00500193 li gp,5
|
||||
68: 00100093 li ra,1
|
||||
6c: 00000113 li sp,0
|
||||
70: 0020d663 bge ra,sp,7c <test_5+0x18>
|
||||
74: 2a301863 bne zero,gp,324 <fail>
|
||||
78: 00301663 bne zero,gp,84 <test_6>
|
||||
7c: fe20dee3 bge ra,sp,78 <test_5+0x14>
|
||||
80: 2a301263 bne zero,gp,324 <fail>
|
||||
|
||||
00000088 <test_6>:
|
||||
88: 00600193 li gp,6
|
||||
8c: 00100093 li ra,1
|
||||
90: fff00113 li sp,-1
|
||||
94: 0020d663 bge ra,sp,a0 <test_6+0x18>
|
||||
98: 28301863 bne zero,gp,328 <fail>
|
||||
9c: 00301663 bne zero,gp,a8 <test_7>
|
||||
a0: fe20dee3 bge ra,sp,9c <test_6+0x14>
|
||||
a4: 28301263 bne zero,gp,328 <fail>
|
||||
00000084 <test_6>:
|
||||
84: 00600193 li gp,6
|
||||
88: 00100093 li ra,1
|
||||
8c: fff00113 li sp,-1
|
||||
90: 0020d663 bge ra,sp,9c <test_6+0x18>
|
||||
94: 28301863 bne zero,gp,324 <fail>
|
||||
98: 00301663 bne zero,gp,a4 <test_7>
|
||||
9c: fe20dee3 bge ra,sp,98 <test_6+0x14>
|
||||
a0: 28301263 bne zero,gp,324 <fail>
|
||||
|
||||
000000a8 <test_7>:
|
||||
a8: 00700193 li gp,7
|
||||
ac: fff00093 li ra,-1
|
||||
b0: ffe00113 li sp,-2
|
||||
b4: 0020d663 bge ra,sp,c0 <test_7+0x18>
|
||||
b8: 26301863 bne zero,gp,328 <fail>
|
||||
bc: 00301663 bne zero,gp,c8 <test_8>
|
||||
c0: fe20dee3 bge ra,sp,bc <test_7+0x14>
|
||||
c4: 26301263 bne zero,gp,328 <fail>
|
||||
000000a4 <test_7>:
|
||||
a4: 00700193 li gp,7
|
||||
a8: fff00093 li ra,-1
|
||||
ac: ffe00113 li sp,-2
|
||||
b0: 0020d663 bge ra,sp,bc <test_7+0x18>
|
||||
b4: 26301863 bne zero,gp,324 <fail>
|
||||
b8: 00301663 bne zero,gp,c4 <test_8>
|
||||
bc: fe20dee3 bge ra,sp,b8 <test_7+0x14>
|
||||
c0: 26301263 bne zero,gp,324 <fail>
|
||||
|
||||
000000c8 <test_8>:
|
||||
c8: 00800193 li gp,8
|
||||
cc: 00000093 li ra,0
|
||||
d0: 00100113 li sp,1
|
||||
d4: 0020d463 bge ra,sp,dc <test_8+0x14>
|
||||
d8: 00301463 bne zero,gp,e0 <test_8+0x18>
|
||||
dc: 24301663 bne zero,gp,328 <fail>
|
||||
e0: fe20dee3 bge ra,sp,dc <test_8+0x14>
|
||||
000000c4 <test_8>:
|
||||
c4: 00800193 li gp,8
|
||||
c8: 00000093 li ra,0
|
||||
cc: 00100113 li sp,1
|
||||
d0: 0020d463 bge ra,sp,d8 <test_8+0x14>
|
||||
d4: 00301463 bne zero,gp,dc <test_8+0x18>
|
||||
d8: 24301663 bne zero,gp,324 <fail>
|
||||
dc: fe20dee3 bge ra,sp,d8 <test_8+0x14>
|
||||
|
||||
000000e4 <test_9>:
|
||||
e4: 00900193 li gp,9
|
||||
e8: fff00093 li ra,-1
|
||||
ec: 00100113 li sp,1
|
||||
f0: 0020d463 bge ra,sp,f8 <test_9+0x14>
|
||||
f4: 00301463 bne zero,gp,fc <test_9+0x18>
|
||||
f8: 22301863 bne zero,gp,328 <fail>
|
||||
fc: fe20dee3 bge ra,sp,f8 <test_9+0x14>
|
||||
000000e0 <test_9>:
|
||||
e0: 00900193 li gp,9
|
||||
e4: fff00093 li ra,-1
|
||||
e8: 00100113 li sp,1
|
||||
ec: 0020d463 bge ra,sp,f4 <test_9+0x14>
|
||||
f0: 00301463 bne zero,gp,f8 <test_9+0x18>
|
||||
f4: 22301863 bne zero,gp,324 <fail>
|
||||
f8: fe20dee3 bge ra,sp,f4 <test_9+0x14>
|
||||
|
||||
00000100 <test_10>:
|
||||
100: 00a00193 li gp,10
|
||||
104: ffe00093 li ra,-2
|
||||
108: fff00113 li sp,-1
|
||||
10c: 0020d463 bge ra,sp,114 <test_10+0x14>
|
||||
110: 00301463 bne zero,gp,118 <test_10+0x18>
|
||||
114: 20301a63 bne zero,gp,328 <fail>
|
||||
118: fe20dee3 bge ra,sp,114 <test_10+0x14>
|
||||
000000fc <test_10>:
|
||||
fc: 00a00193 li gp,10
|
||||
100: ffe00093 li ra,-2
|
||||
104: fff00113 li sp,-1
|
||||
108: 0020d463 bge ra,sp,110 <test_10+0x14>
|
||||
10c: 00301463 bne zero,gp,114 <test_10+0x18>
|
||||
110: 20301a63 bne zero,gp,324 <fail>
|
||||
114: fe20dee3 bge ra,sp,110 <test_10+0x14>
|
||||
|
||||
0000011c <test_11>:
|
||||
11c: 00b00193 li gp,11
|
||||
120: ffe00093 li ra,-2
|
||||
124: 00100113 li sp,1
|
||||
128: 0020d463 bge ra,sp,130 <test_11+0x14>
|
||||
12c: 00301463 bne zero,gp,134 <test_11+0x18>
|
||||
130: 1e301c63 bne zero,gp,328 <fail>
|
||||
134: fe20dee3 bge ra,sp,130 <test_11+0x14>
|
||||
00000118 <test_11>:
|
||||
118: 00b00193 li gp,11
|
||||
11c: ffe00093 li ra,-2
|
||||
120: 00100113 li sp,1
|
||||
124: 0020d463 bge ra,sp,12c <test_11+0x14>
|
||||
128: 00301463 bne zero,gp,130 <test_11+0x18>
|
||||
12c: 1e301c63 bne zero,gp,324 <fail>
|
||||
130: fe20dee3 bge ra,sp,12c <test_11+0x14>
|
||||
|
||||
00000138 <test_12>:
|
||||
138: 00c00193 li gp,12
|
||||
13c: 00000213 li tp,0
|
||||
140: fff00093 li ra,-1
|
||||
144: 00000113 li sp,0
|
||||
148: 1e20d063 bge ra,sp,328 <fail>
|
||||
14c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
150: 00200293 li t0,2
|
||||
154: fe5216e3 bne tp,t0,140 <test_12+0x8>
|
||||
00000134 <test_12>:
|
||||
134: 00c00193 li gp,12
|
||||
138: 00000213 li tp,0
|
||||
13c: fff00093 li ra,-1
|
||||
140: 00000113 li sp,0
|
||||
144: 1e20d063 bge ra,sp,324 <fail>
|
||||
148: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
14c: 00200293 li t0,2
|
||||
150: fe5216e3 bne tp,t0,13c <test_12+0x8>
|
||||
|
||||
00000158 <test_13>:
|
||||
158: 00d00193 li gp,13
|
||||
15c: 00000213 li tp,0
|
||||
160: fff00093 li ra,-1
|
||||
164: 00000113 li sp,0
|
||||
168: 00000013 nop
|
||||
16c: 1a20de63 bge ra,sp,328 <fail>
|
||||
170: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
174: 00200293 li t0,2
|
||||
178: fe5214e3 bne tp,t0,160 <test_13+0x8>
|
||||
00000154 <test_13>:
|
||||
154: 00d00193 li gp,13
|
||||
158: 00000213 li tp,0
|
||||
15c: fff00093 li ra,-1
|
||||
160: 00000113 li sp,0
|
||||
164: 00000013 nop
|
||||
168: 1a20de63 bge ra,sp,324 <fail>
|
||||
16c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
170: 00200293 li t0,2
|
||||
174: fe5214e3 bne tp,t0,15c <test_13+0x8>
|
||||
|
||||
0000017c <test_14>:
|
||||
17c: 00e00193 li gp,14
|
||||
180: 00000213 li tp,0
|
||||
184: fff00093 li ra,-1
|
||||
188: 00000113 li sp,0
|
||||
00000178 <test_14>:
|
||||
178: 00e00193 li gp,14
|
||||
17c: 00000213 li tp,0
|
||||
180: fff00093 li ra,-1
|
||||
184: 00000113 li sp,0
|
||||
188: 00000013 nop
|
||||
18c: 00000013 nop
|
||||
190: 00000013 nop
|
||||
194: 1820da63 bge ra,sp,328 <fail>
|
||||
198: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
19c: 00200293 li t0,2
|
||||
1a0: fe5212e3 bne tp,t0,184 <test_14+0x8>
|
||||
190: 1820da63 bge ra,sp,324 <fail>
|
||||
194: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
198: 00200293 li t0,2
|
||||
19c: fe5212e3 bne tp,t0,180 <test_14+0x8>
|
||||
|
||||
000001a4 <test_15>:
|
||||
1a4: 00f00193 li gp,15
|
||||
1a8: 00000213 li tp,0
|
||||
1ac: fff00093 li ra,-1
|
||||
1b0: 00000013 nop
|
||||
1b4: 00000113 li sp,0
|
||||
1b8: 1620d863 bge ra,sp,328 <fail>
|
||||
1bc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1c0: 00200293 li t0,2
|
||||
1c4: fe5214e3 bne tp,t0,1ac <test_15+0x8>
|
||||
000001a0 <test_15>:
|
||||
1a0: 00f00193 li gp,15
|
||||
1a4: 00000213 li tp,0
|
||||
1a8: fff00093 li ra,-1
|
||||
1ac: 00000013 nop
|
||||
1b0: 00000113 li sp,0
|
||||
1b4: 1620d863 bge ra,sp,324 <fail>
|
||||
1b8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1bc: 00200293 li t0,2
|
||||
1c0: fe5214e3 bne tp,t0,1a8 <test_15+0x8>
|
||||
|
||||
000001c8 <test_16>:
|
||||
1c8: 01000193 li gp,16
|
||||
1cc: 00000213 li tp,0
|
||||
1d0: fff00093 li ra,-1
|
||||
1d4: 00000013 nop
|
||||
1d8: 00000113 li sp,0
|
||||
1dc: 00000013 nop
|
||||
1e0: 1420d463 bge ra,sp,328 <fail>
|
||||
1e4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1e8: 00200293 li t0,2
|
||||
1ec: fe5212e3 bne tp,t0,1d0 <test_16+0x8>
|
||||
000001c4 <test_16>:
|
||||
1c4: 01000193 li gp,16
|
||||
1c8: 00000213 li tp,0
|
||||
1cc: fff00093 li ra,-1
|
||||
1d0: 00000013 nop
|
||||
1d4: 00000113 li sp,0
|
||||
1d8: 00000013 nop
|
||||
1dc: 1420d463 bge ra,sp,324 <fail>
|
||||
1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1e4: 00200293 li t0,2
|
||||
1e8: fe5212e3 bne tp,t0,1cc <test_16+0x8>
|
||||
|
||||
000001f0 <test_17>:
|
||||
1f0: 01100193 li gp,17
|
||||
1f4: 00000213 li tp,0
|
||||
1f8: fff00093 li ra,-1
|
||||
000001ec <test_17>:
|
||||
1ec: 01100193 li gp,17
|
||||
1f0: 00000213 li tp,0
|
||||
1f4: fff00093 li ra,-1
|
||||
1f8: 00000013 nop
|
||||
1fc: 00000013 nop
|
||||
200: 00000013 nop
|
||||
204: 00000113 li sp,0
|
||||
208: 1220d063 bge ra,sp,328 <fail>
|
||||
20c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
210: 00200293 li t0,2
|
||||
214: fe5212e3 bne tp,t0,1f8 <test_17+0x8>
|
||||
200: 00000113 li sp,0
|
||||
204: 1220d063 bge ra,sp,324 <fail>
|
||||
208: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
20c: 00200293 li t0,2
|
||||
210: fe5212e3 bne tp,t0,1f4 <test_17+0x8>
|
||||
|
||||
00000218 <test_18>:
|
||||
218: 01200193 li gp,18
|
||||
21c: 00000213 li tp,0
|
||||
220: fff00093 li ra,-1
|
||||
224: 00000113 li sp,0
|
||||
228: 1020d063 bge ra,sp,328 <fail>
|
||||
22c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
230: 00200293 li t0,2
|
||||
234: fe5216e3 bne tp,t0,220 <test_18+0x8>
|
||||
00000214 <test_18>:
|
||||
214: 01200193 li gp,18
|
||||
218: 00000213 li tp,0
|
||||
21c: fff00093 li ra,-1
|
||||
220: 00000113 li sp,0
|
||||
224: 1020d063 bge ra,sp,324 <fail>
|
||||
228: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
22c: 00200293 li t0,2
|
||||
230: fe5216e3 bne tp,t0,21c <test_18+0x8>
|
||||
|
||||
00000238 <test_19>:
|
||||
238: 01300193 li gp,19
|
||||
23c: 00000213 li tp,0
|
||||
240: fff00093 li ra,-1
|
||||
244: 00000113 li sp,0
|
||||
248: 00000013 nop
|
||||
24c: 0c20de63 bge ra,sp,328 <fail>
|
||||
250: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
254: 00200293 li t0,2
|
||||
258: fe5214e3 bne tp,t0,240 <test_19+0x8>
|
||||
00000234 <test_19>:
|
||||
234: 01300193 li gp,19
|
||||
238: 00000213 li tp,0
|
||||
23c: fff00093 li ra,-1
|
||||
240: 00000113 li sp,0
|
||||
244: 00000013 nop
|
||||
248: 0c20de63 bge ra,sp,324 <fail>
|
||||
24c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
250: 00200293 li t0,2
|
||||
254: fe5214e3 bne tp,t0,23c <test_19+0x8>
|
||||
|
||||
0000025c <test_20>:
|
||||
25c: 01400193 li gp,20
|
||||
260: 00000213 li tp,0
|
||||
264: fff00093 li ra,-1
|
||||
268: 00000113 li sp,0
|
||||
00000258 <test_20>:
|
||||
258: 01400193 li gp,20
|
||||
25c: 00000213 li tp,0
|
||||
260: fff00093 li ra,-1
|
||||
264: 00000113 li sp,0
|
||||
268: 00000013 nop
|
||||
26c: 00000013 nop
|
||||
270: 00000013 nop
|
||||
274: 0a20da63 bge ra,sp,328 <fail>
|
||||
278: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
27c: 00200293 li t0,2
|
||||
280: fe5212e3 bne tp,t0,264 <test_20+0x8>
|
||||
270: 0a20da63 bge ra,sp,324 <fail>
|
||||
274: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
278: 00200293 li t0,2
|
||||
27c: fe5212e3 bne tp,t0,260 <test_20+0x8>
|
||||
|
||||
00000284 <test_21>:
|
||||
284: 01500193 li gp,21
|
||||
288: 00000213 li tp,0
|
||||
28c: fff00093 li ra,-1
|
||||
290: 00000013 nop
|
||||
294: 00000113 li sp,0
|
||||
298: 0820d863 bge ra,sp,328 <fail>
|
||||
29c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2a0: 00200293 li t0,2
|
||||
2a4: fe5214e3 bne tp,t0,28c <test_21+0x8>
|
||||
00000280 <test_21>:
|
||||
280: 01500193 li gp,21
|
||||
284: 00000213 li tp,0
|
||||
288: fff00093 li ra,-1
|
||||
28c: 00000013 nop
|
||||
290: 00000113 li sp,0
|
||||
294: 0820d863 bge ra,sp,324 <fail>
|
||||
298: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
29c: 00200293 li t0,2
|
||||
2a0: fe5214e3 bne tp,t0,288 <test_21+0x8>
|
||||
|
||||
000002a8 <test_22>:
|
||||
2a8: 01600193 li gp,22
|
||||
2ac: 00000213 li tp,0
|
||||
2b0: fff00093 li ra,-1
|
||||
2b4: 00000013 nop
|
||||
2b8: 00000113 li sp,0
|
||||
2bc: 00000013 nop
|
||||
2c0: 0620d463 bge ra,sp,328 <fail>
|
||||
2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2c8: 00200293 li t0,2
|
||||
2cc: fe5212e3 bne tp,t0,2b0 <test_22+0x8>
|
||||
000002a4 <test_22>:
|
||||
2a4: 01600193 li gp,22
|
||||
2a8: 00000213 li tp,0
|
||||
2ac: fff00093 li ra,-1
|
||||
2b0: 00000013 nop
|
||||
2b4: 00000113 li sp,0
|
||||
2b8: 00000013 nop
|
||||
2bc: 0620d463 bge ra,sp,324 <fail>
|
||||
2c0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2c4: 00200293 li t0,2
|
||||
2c8: fe5212e3 bne tp,t0,2ac <test_22+0x8>
|
||||
|
||||
000002d0 <test_23>:
|
||||
2d0: 01700193 li gp,23
|
||||
2d4: 00000213 li tp,0
|
||||
2d8: fff00093 li ra,-1
|
||||
000002cc <test_23>:
|
||||
2cc: 01700193 li gp,23
|
||||
2d0: 00000213 li tp,0
|
||||
2d4: fff00093 li ra,-1
|
||||
2d8: 00000013 nop
|
||||
2dc: 00000013 nop
|
||||
2e0: 00000013 nop
|
||||
2e4: 00000113 li sp,0
|
||||
2e8: 0420d063 bge ra,sp,328 <fail>
|
||||
2ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2f0: 00200293 li t0,2
|
||||
2f4: fe5212e3 bne tp,t0,2d8 <test_23+0x8>
|
||||
2e0: 00000113 li sp,0
|
||||
2e4: 0420d063 bge ra,sp,324 <fail>
|
||||
2e8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2ec: 00200293 li t0,2
|
||||
2f0: fe5212e3 bne tp,t0,2d4 <test_23+0x8>
|
||||
|
||||
000002f8 <test_24>:
|
||||
2f8: 00100093 li ra,1
|
||||
2fc: 0000da63 bgez ra,310 <test_24+0x18>
|
||||
000002f4 <test_24>:
|
||||
2f4: 00100093 li ra,1
|
||||
2f8: 0000da63 bgez ra,30c <test_24+0x18>
|
||||
2fc: 00108093 addi ra,ra,1
|
||||
300: 00108093 addi ra,ra,1
|
||||
304: 00108093 addi ra,ra,1
|
||||
308: 00108093 addi ra,ra,1
|
||||
30c: 00108093 addi ra,ra,1
|
||||
310: 00108093 addi ra,ra,1
|
||||
314: 00108093 addi ra,ra,1
|
||||
318: 00300e93 li t4,3
|
||||
31c: 01800193 li gp,24
|
||||
320: 01d09463 bne ra,t4,328 <fail>
|
||||
324: 00301863 bne zero,gp,334 <pass>
|
||||
314: 00300e93 li t4,3
|
||||
318: 01800193 li gp,24
|
||||
31c: 01d09463 bne ra,t4,324 <fail>
|
||||
320: 00301663 bne zero,gp,32c <pass>
|
||||
|
||||
00000328 <fail>:
|
||||
328: 00000d93 li s11,0
|
||||
32c: 00100d13 li s10,1
|
||||
00000324 <fail>:
|
||||
324: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000330 <loop_fail>:
|
||||
330: 0000006f j 330 <loop_fail>
|
||||
00000328 <loop_fail>:
|
||||
328: 0000006f j 328 <loop_fail>
|
||||
|
||||
00000334 <pass>:
|
||||
334: 00100d93 li s11,1
|
||||
338: 00100d13 li s10,1
|
||||
0000032c <pass>:
|
||||
32c: 1001d073 csrwi sstatus,3
|
||||
|
||||
0000033c <loop_pass>:
|
||||
33c: 0000006f j 33c <loop_pass>
|
||||
340: 0000 unimp
|
||||
00000330 <loop_pass>:
|
||||
330: 0000006f j 330 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -281,14 +277,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
00000113
|
||||
|
@ -199,12 +198,10 @@ fe5212e3
|
|||
00300e93
|
||||
01800193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -240,3 +237,6 @@ fe5212e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,56 +1,56 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
13 01 00 00 63 D6 20 00 63 18 30 30 63 16 30 00
|
||||
E3 DE 20 FE 63 12 30 30 93 01 30 00 93 00 10 00
|
||||
13 01 10 00 63 D6 20 00 63 18 30 2E 63 16 30 00
|
||||
E3 DE 20 FE 63 12 30 2E 93 01 40 00 93 00 F0 FF
|
||||
13 01 F0 FF 63 D6 20 00 63 18 30 2C 63 16 30 00
|
||||
E3 DE 20 FE 63 12 30 2C 93 01 50 00 93 00 10 00
|
||||
13 01 00 00 63 D6 20 00 63 18 30 2A 63 16 30 00
|
||||
E3 DE 20 FE 63 12 30 2A 93 01 60 00 93 00 10 00
|
||||
13 01 F0 FF 63 D6 20 00 63 18 30 28 63 16 30 00
|
||||
E3 DE 20 FE 63 12 30 28 93 01 70 00 93 00 F0 FF
|
||||
13 01 E0 FF 63 D6 20 00 63 18 30 26 63 16 30 00
|
||||
E3 DE 20 FE 63 12 30 26 93 01 80 00 93 00 00 00
|
||||
13 01 10 00 63 D4 20 00 63 14 30 00 63 16 30 24
|
||||
E3 DE 20 FE 93 01 90 00 93 00 F0 FF 13 01 10 00
|
||||
63 D4 20 00 63 14 30 00 63 18 30 22 E3 DE 20 FE
|
||||
93 01 A0 00 93 00 E0 FF 13 01 F0 FF 63 D4 20 00
|
||||
63 14 30 00 63 1A 30 20 E3 DE 20 FE 93 01 B0 00
|
||||
93 00 E0 FF 13 01 10 00 63 D4 20 00 63 14 30 00
|
||||
63 1C 30 1E E3 DE 20 FE 93 01 C0 00 13 02 00 00
|
||||
93 00 F0 FF 13 01 00 00 63 D0 20 1E 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 D0 00 13 02 00 00
|
||||
93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 1A
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 E0 00
|
||||
13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00
|
||||
13 00 00 00 63 DA 20 18 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 F0 FF
|
||||
13 00 00 00 13 01 00 00 63 D8 20 16 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00
|
||||
93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00
|
||||
63 D4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 10 01 13 02 00 00 93 00 F0 FF 13 00 00 00
|
||||
13 00 00 00 13 01 00 00 63 D0 20 12 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00
|
||||
93 00 F0 FF 13 01 00 00 63 D0 20 10 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 30 01 13 02 00 00
|
||||
93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 0C
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 40 01
|
||||
13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00
|
||||
13 00 00 00 63 DA 20 0A 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 50 01 13 02 00 00 93 00 F0 FF
|
||||
13 00 00 00 13 01 00 00 63 D8 20 08 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 60 01 13 02 00 00
|
||||
93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00
|
||||
63 D4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 70 01 13 02 00 00 93 00 F0 FF 13 00 00 00
|
||||
13 00 00 00 13 01 00 00 63 D0 20 04 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 00 10 00 63 DA 00 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 13 01 00 00
|
||||
63 D6 20 00 63 18 30 30 63 16 30 00 E3 DE 20 FE
|
||||
63 12 30 30 93 01 30 00 93 00 10 00 13 01 10 00
|
||||
63 D6 20 00 63 18 30 2E 63 16 30 00 E3 DE 20 FE
|
||||
63 12 30 2E 93 01 40 00 93 00 F0 FF 13 01 F0 FF
|
||||
63 D6 20 00 63 18 30 2C 63 16 30 00 E3 DE 20 FE
|
||||
63 12 30 2C 93 01 50 00 93 00 10 00 13 01 00 00
|
||||
63 D6 20 00 63 18 30 2A 63 16 30 00 E3 DE 20 FE
|
||||
63 12 30 2A 93 01 60 00 93 00 10 00 13 01 F0 FF
|
||||
63 D6 20 00 63 18 30 28 63 16 30 00 E3 DE 20 FE
|
||||
63 12 30 28 93 01 70 00 93 00 F0 FF 13 01 E0 FF
|
||||
63 D6 20 00 63 18 30 26 63 16 30 00 E3 DE 20 FE
|
||||
63 12 30 26 93 01 80 00 93 00 00 00 13 01 10 00
|
||||
63 D4 20 00 63 14 30 00 63 16 30 24 E3 DE 20 FE
|
||||
93 01 90 00 93 00 F0 FF 13 01 10 00 63 D4 20 00
|
||||
63 14 30 00 63 18 30 22 E3 DE 20 FE 93 01 A0 00
|
||||
93 00 E0 FF 13 01 F0 FF 63 D4 20 00 63 14 30 00
|
||||
63 1A 30 20 E3 DE 20 FE 93 01 B0 00 93 00 E0 FF
|
||||
13 01 10 00 63 D4 20 00 63 14 30 00 63 1C 30 1E
|
||||
E3 DE 20 FE 93 01 C0 00 13 02 00 00 93 00 F0 FF
|
||||
13 01 00 00 63 D0 20 1E 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 01 D0 00 13 02 00 00 93 00 F0 FF
|
||||
13 01 00 00 13 00 00 00 63 DE 20 1A 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 E0 00 13 02 00 00
|
||||
93 00 F0 FF 13 01 00 00 13 00 00 00 13 00 00 00
|
||||
63 DA 20 18 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 F0 00 13 02 00 00 93 00 F0 FF 13 00 00 00
|
||||
13 01 00 00 63 D8 20 16 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 00 01 13 02 00 00 93 00 F0 FF
|
||||
13 00 00 00 13 01 00 00 13 00 00 00 63 D4 20 14
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 10 01
|
||||
13 02 00 00 93 00 F0 FF 13 00 00 00 13 00 00 00
|
||||
13 01 00 00 63 D0 20 12 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 F0 FF
|
||||
13 01 00 00 63 D0 20 10 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 01 30 01 13 02 00 00 93 00 F0 FF
|
||||
13 01 00 00 13 00 00 00 63 DE 20 0C 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 40 01 13 02 00 00
|
||||
93 00 F0 FF 13 01 00 00 13 00 00 00 13 00 00 00
|
||||
63 DA 20 0A 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 50 01 13 02 00 00 93 00 F0 FF 13 00 00 00
|
||||
13 01 00 00 63 D8 20 08 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 60 01 13 02 00 00 93 00 F0 FF
|
||||
13 00 00 00 13 01 00 00 13 00 00 00 63 D4 20 06
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 70 01
|
||||
13 02 00 00 93 00 F0 FF 13 00 00 00 13 00 00 00
|
||||
13 01 00 00 63 D0 20 04 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 00 10 00 63 DA 00 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01
|
||||
63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
93 80 10 00 93 0E 30 00 93 01 80 01 63 94 D0 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00000380
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,281 +5,278 @@ generated/rv32ui-p-bgeu: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 00000113 li sp,0
|
||||
14: 0020f663 bgeu ra,sp,20 <test_2+0x18>
|
||||
18: 34301263 bne zero,gp,35c <fail>
|
||||
1c: 00301663 bne zero,gp,28 <test_3>
|
||||
20: fe20fee3 bgeu ra,sp,1c <test_2+0x14>
|
||||
24: 32301c63 bne zero,gp,35c <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 00000113 li sp,0
|
||||
10: 0020f663 bgeu ra,sp,1c <test_2+0x18>
|
||||
14: 34301263 bne zero,gp,358 <fail>
|
||||
18: 00301663 bne zero,gp,24 <test_3>
|
||||
1c: fe20fee3 bgeu ra,sp,18 <test_2+0x14>
|
||||
20: 32301c63 bne zero,gp,358 <fail>
|
||||
|
||||
00000028 <test_3>:
|
||||
28: 00300193 li gp,3
|
||||
2c: 00100093 li ra,1
|
||||
30: 00100113 li sp,1
|
||||
34: 0020f663 bgeu ra,sp,40 <test_3+0x18>
|
||||
38: 32301263 bne zero,gp,35c <fail>
|
||||
3c: 00301663 bne zero,gp,48 <test_4>
|
||||
40: fe20fee3 bgeu ra,sp,3c <test_3+0x14>
|
||||
44: 30301c63 bne zero,gp,35c <fail>
|
||||
00000024 <test_3>:
|
||||
24: 00300193 li gp,3
|
||||
28: 00100093 li ra,1
|
||||
2c: 00100113 li sp,1
|
||||
30: 0020f663 bgeu ra,sp,3c <test_3+0x18>
|
||||
34: 32301263 bne zero,gp,358 <fail>
|
||||
38: 00301663 bne zero,gp,44 <test_4>
|
||||
3c: fe20fee3 bgeu ra,sp,38 <test_3+0x14>
|
||||
40: 30301c63 bne zero,gp,358 <fail>
|
||||
|
||||
00000048 <test_4>:
|
||||
48: 00400193 li gp,4
|
||||
4c: fff00093 li ra,-1
|
||||
50: fff00113 li sp,-1
|
||||
54: 0020f663 bgeu ra,sp,60 <test_4+0x18>
|
||||
58: 30301263 bne zero,gp,35c <fail>
|
||||
5c: 00301663 bne zero,gp,68 <test_5>
|
||||
60: fe20fee3 bgeu ra,sp,5c <test_4+0x14>
|
||||
64: 2e301c63 bne zero,gp,35c <fail>
|
||||
00000044 <test_4>:
|
||||
44: 00400193 li gp,4
|
||||
48: fff00093 li ra,-1
|
||||
4c: fff00113 li sp,-1
|
||||
50: 0020f663 bgeu ra,sp,5c <test_4+0x18>
|
||||
54: 30301263 bne zero,gp,358 <fail>
|
||||
58: 00301663 bne zero,gp,64 <test_5>
|
||||
5c: fe20fee3 bgeu ra,sp,58 <test_4+0x14>
|
||||
60: 2e301c63 bne zero,gp,358 <fail>
|
||||
|
||||
00000068 <test_5>:
|
||||
68: 00500193 li gp,5
|
||||
6c: 00100093 li ra,1
|
||||
70: 00000113 li sp,0
|
||||
74: 0020f663 bgeu ra,sp,80 <test_5+0x18>
|
||||
78: 2e301263 bne zero,gp,35c <fail>
|
||||
7c: 00301663 bne zero,gp,88 <test_6>
|
||||
80: fe20fee3 bgeu ra,sp,7c <test_5+0x14>
|
||||
84: 2c301c63 bne zero,gp,35c <fail>
|
||||
00000064 <test_5>:
|
||||
64: 00500193 li gp,5
|
||||
68: 00100093 li ra,1
|
||||
6c: 00000113 li sp,0
|
||||
70: 0020f663 bgeu ra,sp,7c <test_5+0x18>
|
||||
74: 2e301263 bne zero,gp,358 <fail>
|
||||
78: 00301663 bne zero,gp,84 <test_6>
|
||||
7c: fe20fee3 bgeu ra,sp,78 <test_5+0x14>
|
||||
80: 2c301c63 bne zero,gp,358 <fail>
|
||||
|
||||
00000088 <test_6>:
|
||||
88: 00600193 li gp,6
|
||||
8c: fff00093 li ra,-1
|
||||
90: ffe00113 li sp,-2
|
||||
94: 0020f663 bgeu ra,sp,a0 <test_6+0x18>
|
||||
98: 2c301263 bne zero,gp,35c <fail>
|
||||
9c: 00301663 bne zero,gp,a8 <test_7>
|
||||
a0: fe20fee3 bgeu ra,sp,9c <test_6+0x14>
|
||||
a4: 2a301c63 bne zero,gp,35c <fail>
|
||||
00000084 <test_6>:
|
||||
84: 00600193 li gp,6
|
||||
88: fff00093 li ra,-1
|
||||
8c: ffe00113 li sp,-2
|
||||
90: 0020f663 bgeu ra,sp,9c <test_6+0x18>
|
||||
94: 2c301263 bne zero,gp,358 <fail>
|
||||
98: 00301663 bne zero,gp,a4 <test_7>
|
||||
9c: fe20fee3 bgeu ra,sp,98 <test_6+0x14>
|
||||
a0: 2a301c63 bne zero,gp,358 <fail>
|
||||
|
||||
000000a8 <test_7>:
|
||||
a8: 00700193 li gp,7
|
||||
ac: fff00093 li ra,-1
|
||||
b0: 00000113 li sp,0
|
||||
b4: 0020f663 bgeu ra,sp,c0 <test_7+0x18>
|
||||
b8: 2a301263 bne zero,gp,35c <fail>
|
||||
bc: 00301663 bne zero,gp,c8 <test_8>
|
||||
c0: fe20fee3 bgeu ra,sp,bc <test_7+0x14>
|
||||
c4: 28301c63 bne zero,gp,35c <fail>
|
||||
000000a4 <test_7>:
|
||||
a4: 00700193 li gp,7
|
||||
a8: fff00093 li ra,-1
|
||||
ac: 00000113 li sp,0
|
||||
b0: 0020f663 bgeu ra,sp,bc <test_7+0x18>
|
||||
b4: 2a301263 bne zero,gp,358 <fail>
|
||||
b8: 00301663 bne zero,gp,c4 <test_8>
|
||||
bc: fe20fee3 bgeu ra,sp,b8 <test_7+0x14>
|
||||
c0: 28301c63 bne zero,gp,358 <fail>
|
||||
|
||||
000000c8 <test_8>:
|
||||
c8: 00800193 li gp,8
|
||||
cc: 00000093 li ra,0
|
||||
d0: 00100113 li sp,1
|
||||
d4: 0020f463 bgeu ra,sp,dc <test_8+0x14>
|
||||
d8: 00301463 bne zero,gp,e0 <test_8+0x18>
|
||||
dc: 28301063 bne zero,gp,35c <fail>
|
||||
e0: fe20fee3 bgeu ra,sp,dc <test_8+0x14>
|
||||
000000c4 <test_8>:
|
||||
c4: 00800193 li gp,8
|
||||
c8: 00000093 li ra,0
|
||||
cc: 00100113 li sp,1
|
||||
d0: 0020f463 bgeu ra,sp,d8 <test_8+0x14>
|
||||
d4: 00301463 bne zero,gp,dc <test_8+0x18>
|
||||
d8: 28301063 bne zero,gp,358 <fail>
|
||||
dc: fe20fee3 bgeu ra,sp,d8 <test_8+0x14>
|
||||
|
||||
000000e4 <test_9>:
|
||||
e4: 00900193 li gp,9
|
||||
e8: ffe00093 li ra,-2
|
||||
ec: fff00113 li sp,-1
|
||||
f0: 0020f463 bgeu ra,sp,f8 <test_9+0x14>
|
||||
f4: 00301463 bne zero,gp,fc <test_9+0x18>
|
||||
f8: 26301263 bne zero,gp,35c <fail>
|
||||
fc: fe20fee3 bgeu ra,sp,f8 <test_9+0x14>
|
||||
000000e0 <test_9>:
|
||||
e0: 00900193 li gp,9
|
||||
e4: ffe00093 li ra,-2
|
||||
e8: fff00113 li sp,-1
|
||||
ec: 0020f463 bgeu ra,sp,f4 <test_9+0x14>
|
||||
f0: 00301463 bne zero,gp,f8 <test_9+0x18>
|
||||
f4: 26301263 bne zero,gp,358 <fail>
|
||||
f8: fe20fee3 bgeu ra,sp,f4 <test_9+0x14>
|
||||
|
||||
00000100 <test_10>:
|
||||
100: 00a00193 li gp,10
|
||||
104: 00000093 li ra,0
|
||||
108: fff00113 li sp,-1
|
||||
10c: 0020f463 bgeu ra,sp,114 <test_10+0x14>
|
||||
110: 00301463 bne zero,gp,118 <test_10+0x18>
|
||||
114: 24301463 bne zero,gp,35c <fail>
|
||||
118: fe20fee3 bgeu ra,sp,114 <test_10+0x14>
|
||||
000000fc <test_10>:
|
||||
fc: 00a00193 li gp,10
|
||||
100: 00000093 li ra,0
|
||||
104: fff00113 li sp,-1
|
||||
108: 0020f463 bgeu ra,sp,110 <test_10+0x14>
|
||||
10c: 00301463 bne zero,gp,114 <test_10+0x18>
|
||||
110: 24301463 bne zero,gp,358 <fail>
|
||||
114: fe20fee3 bgeu ra,sp,110 <test_10+0x14>
|
||||
|
||||
0000011c <test_11>:
|
||||
11c: 00b00193 li gp,11
|
||||
120: 800000b7 lui ra,0x80000
|
||||
124: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
128: 80000137 lui sp,0x80000
|
||||
12c: 0020f463 bgeu ra,sp,134 <test_11+0x18>
|
||||
130: 00301463 bne zero,gp,138 <test_11+0x1c>
|
||||
134: 22301463 bne zero,gp,35c <fail>
|
||||
138: fe20fee3 bgeu ra,sp,134 <test_11+0x18>
|
||||
00000118 <test_11>:
|
||||
118: 00b00193 li gp,11
|
||||
11c: 800000b7 lui ra,0x80000
|
||||
120: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
124: 80000137 lui sp,0x80000
|
||||
128: 0020f463 bgeu ra,sp,130 <test_11+0x18>
|
||||
12c: 00301463 bne zero,gp,134 <test_11+0x1c>
|
||||
130: 22301463 bne zero,gp,358 <fail>
|
||||
134: fe20fee3 bgeu ra,sp,130 <test_11+0x18>
|
||||
|
||||
0000013c <test_12>:
|
||||
13c: 00c00193 li gp,12
|
||||
140: 00000213 li tp,0
|
||||
144: f00000b7 lui ra,0xf0000
|
||||
148: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
14c: f0000137 lui sp,0xf0000
|
||||
150: 2020f663 bgeu ra,sp,35c <fail>
|
||||
154: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
158: 00200293 li t0,2
|
||||
15c: fe5214e3 bne tp,t0,144 <test_12+0x8>
|
||||
00000138 <test_12>:
|
||||
138: 00c00193 li gp,12
|
||||
13c: 00000213 li tp,0
|
||||
140: f00000b7 lui ra,0xf0000
|
||||
144: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
148: f0000137 lui sp,0xf0000
|
||||
14c: 2020f663 bgeu ra,sp,358 <fail>
|
||||
150: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
154: 00200293 li t0,2
|
||||
158: fe5214e3 bne tp,t0,140 <test_12+0x8>
|
||||
|
||||
00000160 <test_13>:
|
||||
160: 00d00193 li gp,13
|
||||
164: 00000213 li tp,0
|
||||
168: f00000b7 lui ra,0xf0000
|
||||
16c: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
170: f0000137 lui sp,0xf0000
|
||||
174: 00000013 nop
|
||||
178: 1e20f263 bgeu ra,sp,35c <fail>
|
||||
17c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
180: 00200293 li t0,2
|
||||
184: fe5212e3 bne tp,t0,168 <test_13+0x8>
|
||||
0000015c <test_13>:
|
||||
15c: 00d00193 li gp,13
|
||||
160: 00000213 li tp,0
|
||||
164: f00000b7 lui ra,0xf0000
|
||||
168: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
16c: f0000137 lui sp,0xf0000
|
||||
170: 00000013 nop
|
||||
174: 1e20f263 bgeu ra,sp,358 <fail>
|
||||
178: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
17c: 00200293 li t0,2
|
||||
180: fe5212e3 bne tp,t0,164 <test_13+0x8>
|
||||
|
||||
00000188 <test_14>:
|
||||
188: 00e00193 li gp,14
|
||||
18c: 00000213 li tp,0
|
||||
190: f00000b7 lui ra,0xf0000
|
||||
194: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
198: f0000137 lui sp,0xf0000
|
||||
00000184 <test_14>:
|
||||
184: 00e00193 li gp,14
|
||||
188: 00000213 li tp,0
|
||||
18c: f00000b7 lui ra,0xf0000
|
||||
190: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
194: f0000137 lui sp,0xf0000
|
||||
198: 00000013 nop
|
||||
19c: 00000013 nop
|
||||
1a0: 00000013 nop
|
||||
1a4: 1a20fc63 bgeu ra,sp,35c <fail>
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fe5210e3 bne tp,t0,190 <test_14+0x8>
|
||||
1a0: 1a20fc63 bgeu ra,sp,358 <fail>
|
||||
1a4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1a8: 00200293 li t0,2
|
||||
1ac: fe5210e3 bne tp,t0,18c <test_14+0x8>
|
||||
|
||||
000001b4 <test_15>:
|
||||
1b4: 00f00193 li gp,15
|
||||
1b8: 00000213 li tp,0
|
||||
1bc: f00000b7 lui ra,0xf0000
|
||||
1c0: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1c4: 00000013 nop
|
||||
1c8: f0000137 lui sp,0xf0000
|
||||
1cc: 1820f863 bgeu ra,sp,35c <fail>
|
||||
1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d4: 00200293 li t0,2
|
||||
1d8: fe5212e3 bne tp,t0,1bc <test_15+0x8>
|
||||
000001b0 <test_15>:
|
||||
1b0: 00f00193 li gp,15
|
||||
1b4: 00000213 li tp,0
|
||||
1b8: f00000b7 lui ra,0xf0000
|
||||
1bc: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1c0: 00000013 nop
|
||||
1c4: f0000137 lui sp,0xf0000
|
||||
1c8: 1820f863 bgeu ra,sp,358 <fail>
|
||||
1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d0: 00200293 li t0,2
|
||||
1d4: fe5212e3 bne tp,t0,1b8 <test_15+0x8>
|
||||
|
||||
000001dc <test_16>:
|
||||
1dc: 01000193 li gp,16
|
||||
1e0: 00000213 li tp,0
|
||||
1e4: f00000b7 lui ra,0xf0000
|
||||
1e8: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1ec: 00000013 nop
|
||||
1f0: f0000137 lui sp,0xf0000
|
||||
1f4: 00000013 nop
|
||||
1f8: 1620f263 bgeu ra,sp,35c <fail>
|
||||
1fc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
200: 00200293 li t0,2
|
||||
204: fe5210e3 bne tp,t0,1e4 <test_16+0x8>
|
||||
000001d8 <test_16>:
|
||||
1d8: 01000193 li gp,16
|
||||
1dc: 00000213 li tp,0
|
||||
1e0: f00000b7 lui ra,0xf0000
|
||||
1e4: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1e8: 00000013 nop
|
||||
1ec: f0000137 lui sp,0xf0000
|
||||
1f0: 00000013 nop
|
||||
1f4: 1620f263 bgeu ra,sp,358 <fail>
|
||||
1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1fc: 00200293 li t0,2
|
||||
200: fe5210e3 bne tp,t0,1e0 <test_16+0x8>
|
||||
|
||||
00000208 <test_17>:
|
||||
208: 01100193 li gp,17
|
||||
20c: 00000213 li tp,0
|
||||
210: f00000b7 lui ra,0xf0000
|
||||
214: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
00000204 <test_17>:
|
||||
204: 01100193 li gp,17
|
||||
208: 00000213 li tp,0
|
||||
20c: f00000b7 lui ra,0xf0000
|
||||
210: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
214: 00000013 nop
|
||||
218: 00000013 nop
|
||||
21c: 00000013 nop
|
||||
220: f0000137 lui sp,0xf0000
|
||||
224: 1220fc63 bgeu ra,sp,35c <fail>
|
||||
228: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
22c: 00200293 li t0,2
|
||||
230: fe5210e3 bne tp,t0,210 <test_17+0x8>
|
||||
21c: f0000137 lui sp,0xf0000
|
||||
220: 1220fc63 bgeu ra,sp,358 <fail>
|
||||
224: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
228: 00200293 li t0,2
|
||||
22c: fe5210e3 bne tp,t0,20c <test_17+0x8>
|
||||
|
||||
00000234 <test_18>:
|
||||
234: 01200193 li gp,18
|
||||
238: 00000213 li tp,0
|
||||
23c: f00000b7 lui ra,0xf0000
|
||||
240: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
244: f0000137 lui sp,0xf0000
|
||||
248: 1020fa63 bgeu ra,sp,35c <fail>
|
||||
24c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
250: 00200293 li t0,2
|
||||
254: fe5214e3 bne tp,t0,23c <test_18+0x8>
|
||||
00000230 <test_18>:
|
||||
230: 01200193 li gp,18
|
||||
234: 00000213 li tp,0
|
||||
238: f00000b7 lui ra,0xf0000
|
||||
23c: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
240: f0000137 lui sp,0xf0000
|
||||
244: 1020fa63 bgeu ra,sp,358 <fail>
|
||||
248: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
24c: 00200293 li t0,2
|
||||
250: fe5214e3 bne tp,t0,238 <test_18+0x8>
|
||||
|
||||
00000258 <test_19>:
|
||||
258: 01300193 li gp,19
|
||||
25c: 00000213 li tp,0
|
||||
260: f00000b7 lui ra,0xf0000
|
||||
264: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
268: f0000137 lui sp,0xf0000
|
||||
26c: 00000013 nop
|
||||
270: 0e20f663 bgeu ra,sp,35c <fail>
|
||||
274: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
278: 00200293 li t0,2
|
||||
27c: fe5212e3 bne tp,t0,260 <test_19+0x8>
|
||||
00000254 <test_19>:
|
||||
254: 01300193 li gp,19
|
||||
258: 00000213 li tp,0
|
||||
25c: f00000b7 lui ra,0xf0000
|
||||
260: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
264: f0000137 lui sp,0xf0000
|
||||
268: 00000013 nop
|
||||
26c: 0e20f663 bgeu ra,sp,358 <fail>
|
||||
270: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
274: 00200293 li t0,2
|
||||
278: fe5212e3 bne tp,t0,25c <test_19+0x8>
|
||||
|
||||
00000280 <test_20>:
|
||||
280: 01400193 li gp,20
|
||||
284: 00000213 li tp,0
|
||||
288: f00000b7 lui ra,0xf0000
|
||||
28c: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
290: f0000137 lui sp,0xf0000
|
||||
0000027c <test_20>:
|
||||
27c: 01400193 li gp,20
|
||||
280: 00000213 li tp,0
|
||||
284: f00000b7 lui ra,0xf0000
|
||||
288: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
28c: f0000137 lui sp,0xf0000
|
||||
290: 00000013 nop
|
||||
294: 00000013 nop
|
||||
298: 00000013 nop
|
||||
29c: 0c20f063 bgeu ra,sp,35c <fail>
|
||||
2a0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2a4: 00200293 li t0,2
|
||||
2a8: fe5210e3 bne tp,t0,288 <test_20+0x8>
|
||||
298: 0c20f063 bgeu ra,sp,358 <fail>
|
||||
29c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2a0: 00200293 li t0,2
|
||||
2a4: fe5210e3 bne tp,t0,284 <test_20+0x8>
|
||||
|
||||
000002ac <test_21>:
|
||||
2ac: 01500193 li gp,21
|
||||
2b0: 00000213 li tp,0
|
||||
2b4: f00000b7 lui ra,0xf0000
|
||||
2b8: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
2bc: 00000013 nop
|
||||
2c0: f0000137 lui sp,0xf0000
|
||||
2c4: 0820fc63 bgeu ra,sp,35c <fail>
|
||||
2c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2cc: 00200293 li t0,2
|
||||
2d0: fe5212e3 bne tp,t0,2b4 <test_21+0x8>
|
||||
000002a8 <test_21>:
|
||||
2a8: 01500193 li gp,21
|
||||
2ac: 00000213 li tp,0
|
||||
2b0: f00000b7 lui ra,0xf0000
|
||||
2b4: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
2b8: 00000013 nop
|
||||
2bc: f0000137 lui sp,0xf0000
|
||||
2c0: 0820fc63 bgeu ra,sp,358 <fail>
|
||||
2c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2c8: 00200293 li t0,2
|
||||
2cc: fe5212e3 bne tp,t0,2b0 <test_21+0x8>
|
||||
|
||||
000002d4 <test_22>:
|
||||
2d4: 01600193 li gp,22
|
||||
2d8: 00000213 li tp,0
|
||||
2dc: f00000b7 lui ra,0xf0000
|
||||
2e0: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
2e4: 00000013 nop
|
||||
2e8: f0000137 lui sp,0xf0000
|
||||
2ec: 00000013 nop
|
||||
2f0: 0620f663 bgeu ra,sp,35c <fail>
|
||||
2f4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2f8: 00200293 li t0,2
|
||||
2fc: fe5210e3 bne tp,t0,2dc <test_22+0x8>
|
||||
000002d0 <test_22>:
|
||||
2d0: 01600193 li gp,22
|
||||
2d4: 00000213 li tp,0
|
||||
2d8: f00000b7 lui ra,0xf0000
|
||||
2dc: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
2e0: 00000013 nop
|
||||
2e4: f0000137 lui sp,0xf0000
|
||||
2e8: 00000013 nop
|
||||
2ec: 0620f663 bgeu ra,sp,358 <fail>
|
||||
2f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2f4: 00200293 li t0,2
|
||||
2f8: fe5210e3 bne tp,t0,2d8 <test_22+0x8>
|
||||
|
||||
00000300 <test_23>:
|
||||
300: 01700193 li gp,23
|
||||
304: 00000213 li tp,0
|
||||
308: f00000b7 lui ra,0xf0000
|
||||
30c: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
000002fc <test_23>:
|
||||
2fc: 01700193 li gp,23
|
||||
300: 00000213 li tp,0
|
||||
304: f00000b7 lui ra,0xf0000
|
||||
308: fff08093 addi ra,ra,-1 # efffffff <begin_signature+0xefffefff>
|
||||
30c: 00000013 nop
|
||||
310: 00000013 nop
|
||||
314: 00000013 nop
|
||||
318: f0000137 lui sp,0xf0000
|
||||
31c: 0420f063 bgeu ra,sp,35c <fail>
|
||||
320: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
324: 00200293 li t0,2
|
||||
328: fe5210e3 bne tp,t0,308 <test_23+0x8>
|
||||
314: f0000137 lui sp,0xf0000
|
||||
318: 0420f063 bgeu ra,sp,358 <fail>
|
||||
31c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
320: 00200293 li t0,2
|
||||
324: fe5210e3 bne tp,t0,304 <test_23+0x8>
|
||||
|
||||
0000032c <test_24>:
|
||||
32c: 00100093 li ra,1
|
||||
330: 0000fa63 bgeu ra,zero,344 <test_24+0x18>
|
||||
00000328 <test_24>:
|
||||
328: 00100093 li ra,1
|
||||
32c: 0000fa63 bgeu ra,zero,340 <test_24+0x18>
|
||||
330: 00108093 addi ra,ra,1
|
||||
334: 00108093 addi ra,ra,1
|
||||
338: 00108093 addi ra,ra,1
|
||||
33c: 00108093 addi ra,ra,1
|
||||
340: 00108093 addi ra,ra,1
|
||||
344: 00108093 addi ra,ra,1
|
||||
348: 00108093 addi ra,ra,1
|
||||
34c: 00300e93 li t4,3
|
||||
350: 01800193 li gp,24
|
||||
354: 01d09463 bne ra,t4,35c <fail>
|
||||
358: 00301863 bne zero,gp,368 <pass>
|
||||
348: 00300e93 li t4,3
|
||||
34c: 01800193 li gp,24
|
||||
350: 01d09463 bne ra,t4,358 <fail>
|
||||
354: 00301663 bne zero,gp,360 <pass>
|
||||
|
||||
0000035c <fail>:
|
||||
35c: 00000d93 li s11,0
|
||||
360: 00100d13 li s10,1
|
||||
00000358 <fail>:
|
||||
358: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000364 <loop_fail>:
|
||||
364: 0000006f j 364 <loop_fail>
|
||||
0000035c <loop_fail>:
|
||||
35c: 0000006f j 35c <loop_fail>
|
||||
|
||||
00000368 <pass>:
|
||||
368: 00100d93 li s11,1
|
||||
36c: 00100d13 li s10,1
|
||||
00000360 <pass>:
|
||||
360: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000370 <loop_pass>:
|
||||
370: 0000006f j 370 <loop_pass>
|
||||
00000364 <loop_pass>:
|
||||
364: 0000006f j 364 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -293,14 +290,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
00000113
|
||||
|
@ -212,12 +211,10 @@ fe5210e3
|
|||
00300e93
|
||||
01800193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -256,3 +253,6 @@ fe5210e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,60 +1,60 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
13 01 00 00 63 F6 20 00 63 12 30 34 63 16 30 00
|
||||
E3 FE 20 FE 63 1C 30 32 93 01 30 00 93 00 10 00
|
||||
13 01 10 00 63 F6 20 00 63 12 30 32 63 16 30 00
|
||||
E3 FE 20 FE 63 1C 30 30 93 01 40 00 93 00 F0 FF
|
||||
13 01 F0 FF 63 F6 20 00 63 12 30 30 63 16 30 00
|
||||
E3 FE 20 FE 63 1C 30 2E 93 01 50 00 93 00 10 00
|
||||
13 01 00 00 63 F6 20 00 63 12 30 2E 63 16 30 00
|
||||
E3 FE 20 FE 63 1C 30 2C 93 01 60 00 93 00 F0 FF
|
||||
13 01 E0 FF 63 F6 20 00 63 12 30 2C 63 16 30 00
|
||||
E3 FE 20 FE 63 1C 30 2A 93 01 70 00 93 00 F0 FF
|
||||
13 01 00 00 63 F6 20 00 63 12 30 2A 63 16 30 00
|
||||
E3 FE 20 FE 63 1C 30 28 93 01 80 00 93 00 00 00
|
||||
13 01 10 00 63 F4 20 00 63 14 30 00 63 10 30 28
|
||||
E3 FE 20 FE 93 01 90 00 93 00 E0 FF 13 01 F0 FF
|
||||
63 F4 20 00 63 14 30 00 63 12 30 26 E3 FE 20 FE
|
||||
93 01 A0 00 93 00 00 00 13 01 F0 FF 63 F4 20 00
|
||||
63 14 30 00 63 14 30 24 E3 FE 20 FE 93 01 B0 00
|
||||
B7 00 00 80 93 80 F0 FF 37 01 00 80 63 F4 20 00
|
||||
63 14 30 00 63 14 30 22 E3 FE 20 FE 93 01 C0 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 13 01 00 00
|
||||
63 F6 20 00 63 12 30 34 63 16 30 00 E3 FE 20 FE
|
||||
63 1C 30 32 93 01 30 00 93 00 10 00 13 01 10 00
|
||||
63 F6 20 00 63 12 30 32 63 16 30 00 E3 FE 20 FE
|
||||
63 1C 30 30 93 01 40 00 93 00 F0 FF 13 01 F0 FF
|
||||
63 F6 20 00 63 12 30 30 63 16 30 00 E3 FE 20 FE
|
||||
63 1C 30 2E 93 01 50 00 93 00 10 00 13 01 00 00
|
||||
63 F6 20 00 63 12 30 2E 63 16 30 00 E3 FE 20 FE
|
||||
63 1C 30 2C 93 01 60 00 93 00 F0 FF 13 01 E0 FF
|
||||
63 F6 20 00 63 12 30 2C 63 16 30 00 E3 FE 20 FE
|
||||
63 1C 30 2A 93 01 70 00 93 00 F0 FF 13 01 00 00
|
||||
63 F6 20 00 63 12 30 2A 63 16 30 00 E3 FE 20 FE
|
||||
63 1C 30 28 93 01 80 00 93 00 00 00 13 01 10 00
|
||||
63 F4 20 00 63 14 30 00 63 10 30 28 E3 FE 20 FE
|
||||
93 01 90 00 93 00 E0 FF 13 01 F0 FF 63 F4 20 00
|
||||
63 14 30 00 63 12 30 26 E3 FE 20 FE 93 01 A0 00
|
||||
93 00 00 00 13 01 F0 FF 63 F4 20 00 63 14 30 00
|
||||
63 14 30 24 E3 FE 20 FE 93 01 B0 00 B7 00 00 80
|
||||
93 80 F0 FF 37 01 00 80 63 F4 20 00 63 14 30 00
|
||||
63 14 30 22 E3 FE 20 FE 93 01 C0 00 13 02 00 00
|
||||
B7 00 00 F0 93 80 F0 FF 37 01 00 F0 63 F6 20 20
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00
|
||||
13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0
|
||||
63 F6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF
|
||||
37 01 00 F0 13 00 00 00 63 F2 20 1E 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 E0 00 13 02 00 00
|
||||
B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00
|
||||
13 00 00 00 63 FC 20 1A 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0
|
||||
93 80 F0 FF 13 00 00 00 37 01 00 F0 63 F8 20 18
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 00 01
|
||||
13 00 00 00 63 F2 20 1E 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 E0 00 13 02 00 00 B7 00 00 F0
|
||||
93 80 F0 FF 37 01 00 F0 13 00 00 00 13 00 00 00
|
||||
63 FC 20 1A 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 F0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF
|
||||
13 00 00 00 37 01 00 F0 63 F8 20 18 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00
|
||||
B7 00 00 F0 93 80 F0 FF 13 00 00 00 37 01 00 F0
|
||||
13 00 00 00 63 F2 20 16 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 10 01 13 02 00 00 B7 00 00 F0
|
||||
93 80 F0 FF 13 00 00 00 13 00 00 00 37 01 00 F0
|
||||
63 FC 20 12 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 20 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF
|
||||
37 01 00 F0 63 FA 20 10 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0
|
||||
93 80 F0 FF 37 01 00 F0 13 00 00 00 63 F6 20 0E
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 40 01
|
||||
13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0
|
||||
13 00 00 00 13 00 00 00 63 F0 20 0C 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 01 50 01 13 02 00 00
|
||||
B7 00 00 F0 93 80 F0 FF 13 00 00 00 37 01 00 F0
|
||||
63 FC 20 08 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 60 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF
|
||||
13 00 00 00 37 01 00 F0 13 00 00 00 63 F6 20 06
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 70 01
|
||||
13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00
|
||||
37 01 00 F0 13 00 00 00 63 F2 20 16 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 01 10 01 13 02 00 00
|
||||
B7 00 00 F0 93 80 F0 FF 13 00 00 00 13 00 00 00
|
||||
37 01 00 F0 63 FC 20 12 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 20 01 13 02 00 00 B7 00 00 F0
|
||||
93 80 F0 FF 37 01 00 F0 63 FA 20 10 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00
|
||||
B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00
|
||||
63 F6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 40 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF
|
||||
37 01 00 F0 13 00 00 00 13 00 00 00 63 F0 20 0C
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 50 01
|
||||
13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00
|
||||
37 01 00 F0 63 FC 20 08 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 60 01 13 02 00 00 B7 00 00 F0
|
||||
93 80 F0 FF 13 00 00 00 37 01 00 F0 13 00 00 00
|
||||
63 F6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF
|
||||
13 00 00 00 13 00 00 00 37 01 00 F0 63 F0 20 04
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00
|
||||
63 FA 00 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00
|
||||
93 01 80 01 63 94 D0 01 63 18 30 00 93 0D 00 00
|
||||
13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
13 00 00 00 37 01 00 F0 63 F0 20 04 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 00 10 00 63 FA 00 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01
|
||||
63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00
|
||||
73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@000003C0
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,238 +5,235 @@ generated/rv32ui-p-blt: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 00100113 li sp,1
|
||||
14: 0020c663 blt ra,sp,20 <test_2+0x18>
|
||||
18: 2a301863 bne zero,gp,2c8 <fail>
|
||||
1c: 00301663 bne zero,gp,28 <test_3>
|
||||
20: fe20cee3 blt ra,sp,1c <test_2+0x14>
|
||||
24: 2a301263 bne zero,gp,2c8 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 00100113 li sp,1
|
||||
10: 0020c663 blt ra,sp,1c <test_2+0x18>
|
||||
14: 2a301863 bne zero,gp,2c4 <fail>
|
||||
18: 00301663 bne zero,gp,24 <test_3>
|
||||
1c: fe20cee3 blt ra,sp,18 <test_2+0x14>
|
||||
20: 2a301263 bne zero,gp,2c4 <fail>
|
||||
|
||||
00000028 <test_3>:
|
||||
28: 00300193 li gp,3
|
||||
2c: fff00093 li ra,-1
|
||||
30: 00100113 li sp,1
|
||||
34: 0020c663 blt ra,sp,40 <test_3+0x18>
|
||||
38: 28301863 bne zero,gp,2c8 <fail>
|
||||
3c: 00301663 bne zero,gp,48 <test_4>
|
||||
40: fe20cee3 blt ra,sp,3c <test_3+0x14>
|
||||
44: 28301263 bne zero,gp,2c8 <fail>
|
||||
00000024 <test_3>:
|
||||
24: 00300193 li gp,3
|
||||
28: fff00093 li ra,-1
|
||||
2c: 00100113 li sp,1
|
||||
30: 0020c663 blt ra,sp,3c <test_3+0x18>
|
||||
34: 28301863 bne zero,gp,2c4 <fail>
|
||||
38: 00301663 bne zero,gp,44 <test_4>
|
||||
3c: fe20cee3 blt ra,sp,38 <test_3+0x14>
|
||||
40: 28301263 bne zero,gp,2c4 <fail>
|
||||
|
||||
00000048 <test_4>:
|
||||
48: 00400193 li gp,4
|
||||
4c: ffe00093 li ra,-2
|
||||
50: fff00113 li sp,-1
|
||||
54: 0020c663 blt ra,sp,60 <test_4+0x18>
|
||||
58: 26301863 bne zero,gp,2c8 <fail>
|
||||
5c: 00301663 bne zero,gp,68 <test_5>
|
||||
60: fe20cee3 blt ra,sp,5c <test_4+0x14>
|
||||
64: 26301263 bne zero,gp,2c8 <fail>
|
||||
00000044 <test_4>:
|
||||
44: 00400193 li gp,4
|
||||
48: ffe00093 li ra,-2
|
||||
4c: fff00113 li sp,-1
|
||||
50: 0020c663 blt ra,sp,5c <test_4+0x18>
|
||||
54: 26301863 bne zero,gp,2c4 <fail>
|
||||
58: 00301663 bne zero,gp,64 <test_5>
|
||||
5c: fe20cee3 blt ra,sp,58 <test_4+0x14>
|
||||
60: 26301263 bne zero,gp,2c4 <fail>
|
||||
|
||||
00000068 <test_5>:
|
||||
68: 00500193 li gp,5
|
||||
6c: 00100093 li ra,1
|
||||
70: 00000113 li sp,0
|
||||
74: 0020c463 blt ra,sp,7c <test_5+0x14>
|
||||
78: 00301463 bne zero,gp,80 <test_5+0x18>
|
||||
7c: 24301663 bne zero,gp,2c8 <fail>
|
||||
80: fe20cee3 blt ra,sp,7c <test_5+0x14>
|
||||
00000064 <test_5>:
|
||||
64: 00500193 li gp,5
|
||||
68: 00100093 li ra,1
|
||||
6c: 00000113 li sp,0
|
||||
70: 0020c463 blt ra,sp,78 <test_5+0x14>
|
||||
74: 00301463 bne zero,gp,7c <test_5+0x18>
|
||||
78: 24301663 bne zero,gp,2c4 <fail>
|
||||
7c: fe20cee3 blt ra,sp,78 <test_5+0x14>
|
||||
|
||||
00000084 <test_6>:
|
||||
84: 00600193 li gp,6
|
||||
88: 00100093 li ra,1
|
||||
8c: fff00113 li sp,-1
|
||||
90: 0020c463 blt ra,sp,98 <test_6+0x14>
|
||||
94: 00301463 bne zero,gp,9c <test_6+0x18>
|
||||
98: 22301863 bne zero,gp,2c8 <fail>
|
||||
9c: fe20cee3 blt ra,sp,98 <test_6+0x14>
|
||||
00000080 <test_6>:
|
||||
80: 00600193 li gp,6
|
||||
84: 00100093 li ra,1
|
||||
88: fff00113 li sp,-1
|
||||
8c: 0020c463 blt ra,sp,94 <test_6+0x14>
|
||||
90: 00301463 bne zero,gp,98 <test_6+0x18>
|
||||
94: 22301863 bne zero,gp,2c4 <fail>
|
||||
98: fe20cee3 blt ra,sp,94 <test_6+0x14>
|
||||
|
||||
000000a0 <test_7>:
|
||||
a0: 00700193 li gp,7
|
||||
a4: fff00093 li ra,-1
|
||||
a8: ffe00113 li sp,-2
|
||||
ac: 0020c463 blt ra,sp,b4 <test_7+0x14>
|
||||
b0: 00301463 bne zero,gp,b8 <test_7+0x18>
|
||||
b4: 20301a63 bne zero,gp,2c8 <fail>
|
||||
b8: fe20cee3 blt ra,sp,b4 <test_7+0x14>
|
||||
0000009c <test_7>:
|
||||
9c: 00700193 li gp,7
|
||||
a0: fff00093 li ra,-1
|
||||
a4: ffe00113 li sp,-2
|
||||
a8: 0020c463 blt ra,sp,b0 <test_7+0x14>
|
||||
ac: 00301463 bne zero,gp,b4 <test_7+0x18>
|
||||
b0: 20301a63 bne zero,gp,2c4 <fail>
|
||||
b4: fe20cee3 blt ra,sp,b0 <test_7+0x14>
|
||||
|
||||
000000bc <test_8>:
|
||||
bc: 00800193 li gp,8
|
||||
c0: 00100093 li ra,1
|
||||
c4: ffe00113 li sp,-2
|
||||
c8: 0020c463 blt ra,sp,d0 <test_8+0x14>
|
||||
cc: 00301463 bne zero,gp,d4 <test_8+0x18>
|
||||
d0: 1e301c63 bne zero,gp,2c8 <fail>
|
||||
d4: fe20cee3 blt ra,sp,d0 <test_8+0x14>
|
||||
000000b8 <test_8>:
|
||||
b8: 00800193 li gp,8
|
||||
bc: 00100093 li ra,1
|
||||
c0: ffe00113 li sp,-2
|
||||
c4: 0020c463 blt ra,sp,cc <test_8+0x14>
|
||||
c8: 00301463 bne zero,gp,d0 <test_8+0x18>
|
||||
cc: 1e301c63 bne zero,gp,2c4 <fail>
|
||||
d0: fe20cee3 blt ra,sp,cc <test_8+0x14>
|
||||
|
||||
000000d8 <test_9>:
|
||||
d8: 00900193 li gp,9
|
||||
dc: 00000213 li tp,0
|
||||
e0: 00000093 li ra,0
|
||||
e4: fff00113 li sp,-1
|
||||
e8: 1e20c063 blt ra,sp,2c8 <fail>
|
||||
ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
f0: 00200293 li t0,2
|
||||
f4: fe5216e3 bne tp,t0,e0 <test_9+0x8>
|
||||
000000d4 <test_9>:
|
||||
d4: 00900193 li gp,9
|
||||
d8: 00000213 li tp,0
|
||||
dc: 00000093 li ra,0
|
||||
e0: fff00113 li sp,-1
|
||||
e4: 1e20c063 blt ra,sp,2c4 <fail>
|
||||
e8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
ec: 00200293 li t0,2
|
||||
f0: fe5216e3 bne tp,t0,dc <test_9+0x8>
|
||||
|
||||
000000f8 <test_10>:
|
||||
f8: 00a00193 li gp,10
|
||||
fc: 00000213 li tp,0
|
||||
100: 00000093 li ra,0
|
||||
104: fff00113 li sp,-1
|
||||
108: 00000013 nop
|
||||
10c: 1a20ce63 blt ra,sp,2c8 <fail>
|
||||
110: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
114: 00200293 li t0,2
|
||||
118: fe5214e3 bne tp,t0,100 <test_10+0x8>
|
||||
000000f4 <test_10>:
|
||||
f4: 00a00193 li gp,10
|
||||
f8: 00000213 li tp,0
|
||||
fc: 00000093 li ra,0
|
||||
100: fff00113 li sp,-1
|
||||
104: 00000013 nop
|
||||
108: 1a20ce63 blt ra,sp,2c4 <fail>
|
||||
10c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
110: 00200293 li t0,2
|
||||
114: fe5214e3 bne tp,t0,fc <test_10+0x8>
|
||||
|
||||
0000011c <test_11>:
|
||||
11c: 00b00193 li gp,11
|
||||
120: 00000213 li tp,0
|
||||
124: 00000093 li ra,0
|
||||
128: fff00113 li sp,-1
|
||||
00000118 <test_11>:
|
||||
118: 00b00193 li gp,11
|
||||
11c: 00000213 li tp,0
|
||||
120: 00000093 li ra,0
|
||||
124: fff00113 li sp,-1
|
||||
128: 00000013 nop
|
||||
12c: 00000013 nop
|
||||
130: 00000013 nop
|
||||
134: 1820ca63 blt ra,sp,2c8 <fail>
|
||||
138: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
13c: 00200293 li t0,2
|
||||
140: fe5212e3 bne tp,t0,124 <test_11+0x8>
|
||||
130: 1820ca63 blt ra,sp,2c4 <fail>
|
||||
134: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
138: 00200293 li t0,2
|
||||
13c: fe5212e3 bne tp,t0,120 <test_11+0x8>
|
||||
|
||||
00000144 <test_12>:
|
||||
144: 00c00193 li gp,12
|
||||
148: 00000213 li tp,0
|
||||
14c: 00000093 li ra,0
|
||||
150: 00000013 nop
|
||||
154: fff00113 li sp,-1
|
||||
158: 1620c863 blt ra,sp,2c8 <fail>
|
||||
15c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
160: 00200293 li t0,2
|
||||
164: fe5214e3 bne tp,t0,14c <test_12+0x8>
|
||||
00000140 <test_12>:
|
||||
140: 00c00193 li gp,12
|
||||
144: 00000213 li tp,0
|
||||
148: 00000093 li ra,0
|
||||
14c: 00000013 nop
|
||||
150: fff00113 li sp,-1
|
||||
154: 1620c863 blt ra,sp,2c4 <fail>
|
||||
158: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
15c: 00200293 li t0,2
|
||||
160: fe5214e3 bne tp,t0,148 <test_12+0x8>
|
||||
|
||||
00000168 <test_13>:
|
||||
168: 00d00193 li gp,13
|
||||
16c: 00000213 li tp,0
|
||||
170: 00000093 li ra,0
|
||||
174: 00000013 nop
|
||||
178: fff00113 li sp,-1
|
||||
17c: 00000013 nop
|
||||
180: 1420c463 blt ra,sp,2c8 <fail>
|
||||
184: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
188: 00200293 li t0,2
|
||||
18c: fe5212e3 bne tp,t0,170 <test_13+0x8>
|
||||
00000164 <test_13>:
|
||||
164: 00d00193 li gp,13
|
||||
168: 00000213 li tp,0
|
||||
16c: 00000093 li ra,0
|
||||
170: 00000013 nop
|
||||
174: fff00113 li sp,-1
|
||||
178: 00000013 nop
|
||||
17c: 1420c463 blt ra,sp,2c4 <fail>
|
||||
180: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
184: 00200293 li t0,2
|
||||
188: fe5212e3 bne tp,t0,16c <test_13+0x8>
|
||||
|
||||
00000190 <test_14>:
|
||||
190: 00e00193 li gp,14
|
||||
194: 00000213 li tp,0
|
||||
198: 00000093 li ra,0
|
||||
0000018c <test_14>:
|
||||
18c: 00e00193 li gp,14
|
||||
190: 00000213 li tp,0
|
||||
194: 00000093 li ra,0
|
||||
198: 00000013 nop
|
||||
19c: 00000013 nop
|
||||
1a0: 00000013 nop
|
||||
1a4: fff00113 li sp,-1
|
||||
1a8: 1220c063 blt ra,sp,2c8 <fail>
|
||||
1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b0: 00200293 li t0,2
|
||||
1b4: fe5212e3 bne tp,t0,198 <test_14+0x8>
|
||||
1a0: fff00113 li sp,-1
|
||||
1a4: 1220c063 blt ra,sp,2c4 <fail>
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fe5212e3 bne tp,t0,194 <test_14+0x8>
|
||||
|
||||
000001b8 <test_15>:
|
||||
1b8: 00f00193 li gp,15
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00000093 li ra,0
|
||||
1c4: fff00113 li sp,-1
|
||||
1c8: 1020c063 blt ra,sp,2c8 <fail>
|
||||
1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d0: 00200293 li t0,2
|
||||
1d4: fe5216e3 bne tp,t0,1c0 <test_15+0x8>
|
||||
000001b4 <test_15>:
|
||||
1b4: 00f00193 li gp,15
|
||||
1b8: 00000213 li tp,0
|
||||
1bc: 00000093 li ra,0
|
||||
1c0: fff00113 li sp,-1
|
||||
1c4: 1020c063 blt ra,sp,2c4 <fail>
|
||||
1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1cc: 00200293 li t0,2
|
||||
1d0: fe5216e3 bne tp,t0,1bc <test_15+0x8>
|
||||
|
||||
000001d8 <test_16>:
|
||||
1d8: 01000193 li gp,16
|
||||
1dc: 00000213 li tp,0
|
||||
1e0: 00000093 li ra,0
|
||||
1e4: fff00113 li sp,-1
|
||||
1e8: 00000013 nop
|
||||
1ec: 0c20ce63 blt ra,sp,2c8 <fail>
|
||||
1f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f4: 00200293 li t0,2
|
||||
1f8: fe5214e3 bne tp,t0,1e0 <test_16+0x8>
|
||||
000001d4 <test_16>:
|
||||
1d4: 01000193 li gp,16
|
||||
1d8: 00000213 li tp,0
|
||||
1dc: 00000093 li ra,0
|
||||
1e0: fff00113 li sp,-1
|
||||
1e4: 00000013 nop
|
||||
1e8: 0c20ce63 blt ra,sp,2c4 <fail>
|
||||
1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f0: 00200293 li t0,2
|
||||
1f4: fe5214e3 bne tp,t0,1dc <test_16+0x8>
|
||||
|
||||
000001fc <test_17>:
|
||||
1fc: 01100193 li gp,17
|
||||
200: 00000213 li tp,0
|
||||
204: 00000093 li ra,0
|
||||
208: fff00113 li sp,-1
|
||||
000001f8 <test_17>:
|
||||
1f8: 01100193 li gp,17
|
||||
1fc: 00000213 li tp,0
|
||||
200: 00000093 li ra,0
|
||||
204: fff00113 li sp,-1
|
||||
208: 00000013 nop
|
||||
20c: 00000013 nop
|
||||
210: 00000013 nop
|
||||
214: 0a20ca63 blt ra,sp,2c8 <fail>
|
||||
218: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
21c: 00200293 li t0,2
|
||||
220: fe5212e3 bne tp,t0,204 <test_17+0x8>
|
||||
210: 0a20ca63 blt ra,sp,2c4 <fail>
|
||||
214: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
218: 00200293 li t0,2
|
||||
21c: fe5212e3 bne tp,t0,200 <test_17+0x8>
|
||||
|
||||
00000224 <test_18>:
|
||||
224: 01200193 li gp,18
|
||||
228: 00000213 li tp,0
|
||||
22c: 00000093 li ra,0
|
||||
230: 00000013 nop
|
||||
234: fff00113 li sp,-1
|
||||
238: 0820c863 blt ra,sp,2c8 <fail>
|
||||
23c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
240: 00200293 li t0,2
|
||||
244: fe5214e3 bne tp,t0,22c <test_18+0x8>
|
||||
00000220 <test_18>:
|
||||
220: 01200193 li gp,18
|
||||
224: 00000213 li tp,0
|
||||
228: 00000093 li ra,0
|
||||
22c: 00000013 nop
|
||||
230: fff00113 li sp,-1
|
||||
234: 0820c863 blt ra,sp,2c4 <fail>
|
||||
238: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
23c: 00200293 li t0,2
|
||||
240: fe5214e3 bne tp,t0,228 <test_18+0x8>
|
||||
|
||||
00000248 <test_19>:
|
||||
248: 01300193 li gp,19
|
||||
24c: 00000213 li tp,0
|
||||
250: 00000093 li ra,0
|
||||
254: 00000013 nop
|
||||
258: fff00113 li sp,-1
|
||||
25c: 00000013 nop
|
||||
260: 0620c463 blt ra,sp,2c8 <fail>
|
||||
264: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
268: 00200293 li t0,2
|
||||
26c: fe5212e3 bne tp,t0,250 <test_19+0x8>
|
||||
00000244 <test_19>:
|
||||
244: 01300193 li gp,19
|
||||
248: 00000213 li tp,0
|
||||
24c: 00000093 li ra,0
|
||||
250: 00000013 nop
|
||||
254: fff00113 li sp,-1
|
||||
258: 00000013 nop
|
||||
25c: 0620c463 blt ra,sp,2c4 <fail>
|
||||
260: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
264: 00200293 li t0,2
|
||||
268: fe5212e3 bne tp,t0,24c <test_19+0x8>
|
||||
|
||||
00000270 <test_20>:
|
||||
270: 01400193 li gp,20
|
||||
274: 00000213 li tp,0
|
||||
278: 00000093 li ra,0
|
||||
0000026c <test_20>:
|
||||
26c: 01400193 li gp,20
|
||||
270: 00000213 li tp,0
|
||||
274: 00000093 li ra,0
|
||||
278: 00000013 nop
|
||||
27c: 00000013 nop
|
||||
280: 00000013 nop
|
||||
284: fff00113 li sp,-1
|
||||
288: 0420c063 blt ra,sp,2c8 <fail>
|
||||
28c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
290: 00200293 li t0,2
|
||||
294: fe5212e3 bne tp,t0,278 <test_20+0x8>
|
||||
280: fff00113 li sp,-1
|
||||
284: 0420c063 blt ra,sp,2c4 <fail>
|
||||
288: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
28c: 00200293 li t0,2
|
||||
290: fe5212e3 bne tp,t0,274 <test_20+0x8>
|
||||
|
||||
00000298 <test_21>:
|
||||
298: 00100093 li ra,1
|
||||
29c: 00104a63 bgtz ra,2b0 <test_21+0x18>
|
||||
00000294 <test_21>:
|
||||
294: 00100093 li ra,1
|
||||
298: 00104a63 bgtz ra,2ac <test_21+0x18>
|
||||
29c: 00108093 addi ra,ra,1
|
||||
2a0: 00108093 addi ra,ra,1
|
||||
2a4: 00108093 addi ra,ra,1
|
||||
2a8: 00108093 addi ra,ra,1
|
||||
2ac: 00108093 addi ra,ra,1
|
||||
2b0: 00108093 addi ra,ra,1
|
||||
2b4: 00108093 addi ra,ra,1
|
||||
2b8: 00300e93 li t4,3
|
||||
2bc: 01500193 li gp,21
|
||||
2c0: 01d09463 bne ra,t4,2c8 <fail>
|
||||
2c4: 00301863 bne zero,gp,2d4 <pass>
|
||||
2b4: 00300e93 li t4,3
|
||||
2b8: 01500193 li gp,21
|
||||
2bc: 01d09463 bne ra,t4,2c4 <fail>
|
||||
2c0: 00301663 bne zero,gp,2cc <pass>
|
||||
|
||||
000002c8 <fail>:
|
||||
2c8: 00000d93 li s11,0
|
||||
2cc: 00100d13 li s10,1
|
||||
000002c4 <fail>:
|
||||
2c4: 1000d073 csrwi sstatus,1
|
||||
|
||||
000002d0 <loop_fail>:
|
||||
2d0: 0000006f j 2d0 <loop_fail>
|
||||
000002c8 <loop_fail>:
|
||||
2c8: 0000006f j 2c8 <loop_fail>
|
||||
|
||||
000002d4 <pass>:
|
||||
2d4: 00100d93 li s11,1
|
||||
2d8: 00100d13 li s10,1
|
||||
000002cc <pass>:
|
||||
2cc: 1001d073 csrwi sstatus,3
|
||||
|
||||
000002dc <loop_pass>:
|
||||
2dc: 0000006f j 2dc <loop_pass>
|
||||
000002d0 <loop_pass>:
|
||||
2d0: 0000006f j 2d0 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -250,14 +247,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
00100113
|
||||
|
@ -175,12 +174,10 @@ fe5212e3
|
|||
00300e93
|
||||
01500193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -224,3 +221,6 @@ fe5212e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,50 +1,50 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
13 01 10 00 63 C6 20 00 63 18 30 2A 63 16 30 00
|
||||
E3 CE 20 FE 63 12 30 2A 93 01 30 00 93 00 F0 FF
|
||||
13 01 10 00 63 C6 20 00 63 18 30 28 63 16 30 00
|
||||
E3 CE 20 FE 63 12 30 28 93 01 40 00 93 00 E0 FF
|
||||
13 01 F0 FF 63 C6 20 00 63 18 30 26 63 16 30 00
|
||||
E3 CE 20 FE 63 12 30 26 93 01 50 00 93 00 10 00
|
||||
13 01 00 00 63 C4 20 00 63 14 30 00 63 16 30 24
|
||||
E3 CE 20 FE 93 01 60 00 93 00 10 00 13 01 F0 FF
|
||||
63 C4 20 00 63 14 30 00 63 18 30 22 E3 CE 20 FE
|
||||
93 01 70 00 93 00 F0 FF 13 01 E0 FF 63 C4 20 00
|
||||
63 14 30 00 63 1A 30 20 E3 CE 20 FE 93 01 80 00
|
||||
93 00 10 00 13 01 E0 FF 63 C4 20 00 63 14 30 00
|
||||
63 1C 30 1E E3 CE 20 FE 93 01 90 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 63 C0 20 1E 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 1A
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00
|
||||
13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
13 00 00 00 63 CA 20 18 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 C8 20 16 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
63 C4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 C0 20 12 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 63 C0 20 10 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 0C
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01
|
||||
13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
13 00 00 00 63 CA 20 0A 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 C8 20 08 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00
|
||||
63 C4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 63 C0 20 04 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 00 10 00 63 4A 10 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 13 01 10 00
|
||||
63 C6 20 00 63 18 30 2A 63 16 30 00 E3 CE 20 FE
|
||||
63 12 30 2A 93 01 30 00 93 00 F0 FF 13 01 10 00
|
||||
63 C6 20 00 63 18 30 28 63 16 30 00 E3 CE 20 FE
|
||||
63 12 30 28 93 01 40 00 93 00 E0 FF 13 01 F0 FF
|
||||
63 C6 20 00 63 18 30 26 63 16 30 00 E3 CE 20 FE
|
||||
63 12 30 26 93 01 50 00 93 00 10 00 13 01 00 00
|
||||
63 C4 20 00 63 14 30 00 63 16 30 24 E3 CE 20 FE
|
||||
93 01 60 00 93 00 10 00 13 01 F0 FF 63 C4 20 00
|
||||
63 14 30 00 63 18 30 22 E3 CE 20 FE 93 01 70 00
|
||||
93 00 F0 FF 13 01 E0 FF 63 C4 20 00 63 14 30 00
|
||||
63 1A 30 20 E3 CE 20 FE 93 01 80 00 93 00 10 00
|
||||
13 01 E0 FF 63 C4 20 00 63 14 30 00 63 1C 30 1E
|
||||
E3 CE 20 FE 93 01 90 00 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 63 C0 20 1E 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 01 A0 00 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 13 00 00 00 63 CE 20 1A 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 B0 00 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00
|
||||
63 CA 20 18 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 C0 00 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 C8 20 16 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 D0 00 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 13 00 00 00 63 C4 20 14
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 E0 00
|
||||
13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 C0 20 12 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 63 C0 20 10 13 02 12 00 93 02 20 00
|
||||
E3 16 52 FE 93 01 00 01 13 02 00 00 93 00 00 00
|
||||
13 01 F0 FF 13 00 00 00 63 CE 20 0C 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 10 01 13 02 00 00
|
||||
93 00 00 00 13 01 F0 FF 13 00 00 00 13 00 00 00
|
||||
63 CA 20 0A 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 20 01 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 C8 20 08 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 30 01 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 F0 FF 13 00 00 00 63 C4 20 06
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 40 01
|
||||
13 02 00 00 93 00 00 00 13 00 00 00 13 00 00 00
|
||||
13 01 F0 FF 63 C0 20 04 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 00 10 00 63 4A 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01
|
||||
63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
93 80 10 00 93 0E 30 00 93 01 50 01 63 94 D0 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,251 +5,248 @@ generated/rv32ui-p-bltu: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 00100113 li sp,1
|
||||
14: 0020e663 bltu ra,sp,20 <test_2+0x18>
|
||||
18: 2e301263 bne zero,gp,2fc <fail>
|
||||
1c: 00301663 bne zero,gp,28 <test_3>
|
||||
20: fe20eee3 bltu ra,sp,1c <test_2+0x14>
|
||||
24: 2c301c63 bne zero,gp,2fc <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 00100113 li sp,1
|
||||
10: 0020e663 bltu ra,sp,1c <test_2+0x18>
|
||||
14: 2e301263 bne zero,gp,2f8 <fail>
|
||||
18: 00301663 bne zero,gp,24 <test_3>
|
||||
1c: fe20eee3 bltu ra,sp,18 <test_2+0x14>
|
||||
20: 2c301c63 bne zero,gp,2f8 <fail>
|
||||
|
||||
00000028 <test_3>:
|
||||
28: 00300193 li gp,3
|
||||
2c: ffe00093 li ra,-2
|
||||
30: fff00113 li sp,-1
|
||||
34: 0020e663 bltu ra,sp,40 <test_3+0x18>
|
||||
38: 2c301263 bne zero,gp,2fc <fail>
|
||||
3c: 00301663 bne zero,gp,48 <test_4>
|
||||
40: fe20eee3 bltu ra,sp,3c <test_3+0x14>
|
||||
44: 2a301c63 bne zero,gp,2fc <fail>
|
||||
00000024 <test_3>:
|
||||
24: 00300193 li gp,3
|
||||
28: ffe00093 li ra,-2
|
||||
2c: fff00113 li sp,-1
|
||||
30: 0020e663 bltu ra,sp,3c <test_3+0x18>
|
||||
34: 2c301263 bne zero,gp,2f8 <fail>
|
||||
38: 00301663 bne zero,gp,44 <test_4>
|
||||
3c: fe20eee3 bltu ra,sp,38 <test_3+0x14>
|
||||
40: 2a301c63 bne zero,gp,2f8 <fail>
|
||||
|
||||
00000048 <test_4>:
|
||||
48: 00400193 li gp,4
|
||||
4c: 00000093 li ra,0
|
||||
50: fff00113 li sp,-1
|
||||
54: 0020e663 bltu ra,sp,60 <test_4+0x18>
|
||||
58: 2a301263 bne zero,gp,2fc <fail>
|
||||
5c: 00301663 bne zero,gp,68 <test_5>
|
||||
60: fe20eee3 bltu ra,sp,5c <test_4+0x14>
|
||||
64: 28301c63 bne zero,gp,2fc <fail>
|
||||
00000044 <test_4>:
|
||||
44: 00400193 li gp,4
|
||||
48: 00000093 li ra,0
|
||||
4c: fff00113 li sp,-1
|
||||
50: 0020e663 bltu ra,sp,5c <test_4+0x18>
|
||||
54: 2a301263 bne zero,gp,2f8 <fail>
|
||||
58: 00301663 bne zero,gp,64 <test_5>
|
||||
5c: fe20eee3 bltu ra,sp,58 <test_4+0x14>
|
||||
60: 28301c63 bne zero,gp,2f8 <fail>
|
||||
|
||||
00000068 <test_5>:
|
||||
68: 00500193 li gp,5
|
||||
6c: 00100093 li ra,1
|
||||
70: 00000113 li sp,0
|
||||
74: 0020e463 bltu ra,sp,7c <test_5+0x14>
|
||||
78: 00301463 bne zero,gp,80 <test_5+0x18>
|
||||
7c: 28301063 bne zero,gp,2fc <fail>
|
||||
80: fe20eee3 bltu ra,sp,7c <test_5+0x14>
|
||||
00000064 <test_5>:
|
||||
64: 00500193 li gp,5
|
||||
68: 00100093 li ra,1
|
||||
6c: 00000113 li sp,0
|
||||
70: 0020e463 bltu ra,sp,78 <test_5+0x14>
|
||||
74: 00301463 bne zero,gp,7c <test_5+0x18>
|
||||
78: 28301063 bne zero,gp,2f8 <fail>
|
||||
7c: fe20eee3 bltu ra,sp,78 <test_5+0x14>
|
||||
|
||||
00000084 <test_6>:
|
||||
84: 00600193 li gp,6
|
||||
88: fff00093 li ra,-1
|
||||
8c: ffe00113 li sp,-2
|
||||
90: 0020e463 bltu ra,sp,98 <test_6+0x14>
|
||||
94: 00301463 bne zero,gp,9c <test_6+0x18>
|
||||
98: 26301263 bne zero,gp,2fc <fail>
|
||||
9c: fe20eee3 bltu ra,sp,98 <test_6+0x14>
|
||||
00000080 <test_6>:
|
||||
80: 00600193 li gp,6
|
||||
84: fff00093 li ra,-1
|
||||
88: ffe00113 li sp,-2
|
||||
8c: 0020e463 bltu ra,sp,94 <test_6+0x14>
|
||||
90: 00301463 bne zero,gp,98 <test_6+0x18>
|
||||
94: 26301263 bne zero,gp,2f8 <fail>
|
||||
98: fe20eee3 bltu ra,sp,94 <test_6+0x14>
|
||||
|
||||
000000a0 <test_7>:
|
||||
a0: 00700193 li gp,7
|
||||
a4: fff00093 li ra,-1
|
||||
a8: 00000113 li sp,0
|
||||
ac: 0020e463 bltu ra,sp,b4 <test_7+0x14>
|
||||
b0: 00301463 bne zero,gp,b8 <test_7+0x18>
|
||||
b4: 24301463 bne zero,gp,2fc <fail>
|
||||
b8: fe20eee3 bltu ra,sp,b4 <test_7+0x14>
|
||||
0000009c <test_7>:
|
||||
9c: 00700193 li gp,7
|
||||
a0: fff00093 li ra,-1
|
||||
a4: 00000113 li sp,0
|
||||
a8: 0020e463 bltu ra,sp,b0 <test_7+0x14>
|
||||
ac: 00301463 bne zero,gp,b4 <test_7+0x18>
|
||||
b0: 24301463 bne zero,gp,2f8 <fail>
|
||||
b4: fe20eee3 bltu ra,sp,b0 <test_7+0x14>
|
||||
|
||||
000000bc <test_8>:
|
||||
bc: 00800193 li gp,8
|
||||
c0: 800000b7 lui ra,0x80000
|
||||
c4: 80000137 lui sp,0x80000
|
||||
c8: fff10113 addi sp,sp,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
cc: 0020e463 bltu ra,sp,d4 <test_8+0x18>
|
||||
d0: 00301463 bne zero,gp,d8 <test_8+0x1c>
|
||||
d4: 22301463 bne zero,gp,2fc <fail>
|
||||
d8: fe20eee3 bltu ra,sp,d4 <test_8+0x18>
|
||||
000000b8 <test_8>:
|
||||
b8: 00800193 li gp,8
|
||||
bc: 800000b7 lui ra,0x80000
|
||||
c0: 80000137 lui sp,0x80000
|
||||
c4: fff10113 addi sp,sp,-1 # 7fffffff <begin_signature+0x7fffefff>
|
||||
c8: 0020e463 bltu ra,sp,d0 <test_8+0x18>
|
||||
cc: 00301463 bne zero,gp,d4 <test_8+0x1c>
|
||||
d0: 22301463 bne zero,gp,2f8 <fail>
|
||||
d4: fe20eee3 bltu ra,sp,d0 <test_8+0x18>
|
||||
|
||||
000000dc <test_9>:
|
||||
dc: 00900193 li gp,9
|
||||
e0: 00000213 li tp,0
|
||||
e4: f00000b7 lui ra,0xf0000
|
||||
e8: f0000137 lui sp,0xf0000
|
||||
ec: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
f0: 2020e663 bltu ra,sp,2fc <fail>
|
||||
f4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
f8: 00200293 li t0,2
|
||||
fc: fe5214e3 bne tp,t0,e4 <test_9+0x8>
|
||||
000000d8 <test_9>:
|
||||
d8: 00900193 li gp,9
|
||||
dc: 00000213 li tp,0
|
||||
e0: f00000b7 lui ra,0xf0000
|
||||
e4: f0000137 lui sp,0xf0000
|
||||
e8: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
ec: 2020e663 bltu ra,sp,2f8 <fail>
|
||||
f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
f4: 00200293 li t0,2
|
||||
f8: fe5214e3 bne tp,t0,e0 <test_9+0x8>
|
||||
|
||||
00000100 <test_10>:
|
||||
100: 00a00193 li gp,10
|
||||
104: 00000213 li tp,0
|
||||
108: f00000b7 lui ra,0xf0000
|
||||
10c: f0000137 lui sp,0xf0000
|
||||
110: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
114: 00000013 nop
|
||||
118: 1e20e263 bltu ra,sp,2fc <fail>
|
||||
11c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
120: 00200293 li t0,2
|
||||
124: fe5212e3 bne tp,t0,108 <test_10+0x8>
|
||||
000000fc <test_10>:
|
||||
fc: 00a00193 li gp,10
|
||||
100: 00000213 li tp,0
|
||||
104: f00000b7 lui ra,0xf0000
|
||||
108: f0000137 lui sp,0xf0000
|
||||
10c: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
110: 00000013 nop
|
||||
114: 1e20e263 bltu ra,sp,2f8 <fail>
|
||||
118: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
11c: 00200293 li t0,2
|
||||
120: fe5212e3 bne tp,t0,104 <test_10+0x8>
|
||||
|
||||
00000128 <test_11>:
|
||||
128: 00b00193 li gp,11
|
||||
12c: 00000213 li tp,0
|
||||
130: f00000b7 lui ra,0xf0000
|
||||
134: f0000137 lui sp,0xf0000
|
||||
138: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
00000124 <test_11>:
|
||||
124: 00b00193 li gp,11
|
||||
128: 00000213 li tp,0
|
||||
12c: f00000b7 lui ra,0xf0000
|
||||
130: f0000137 lui sp,0xf0000
|
||||
134: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
138: 00000013 nop
|
||||
13c: 00000013 nop
|
||||
140: 00000013 nop
|
||||
144: 1a20ec63 bltu ra,sp,2fc <fail>
|
||||
148: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
14c: 00200293 li t0,2
|
||||
150: fe5210e3 bne tp,t0,130 <test_11+0x8>
|
||||
140: 1a20ec63 bltu ra,sp,2f8 <fail>
|
||||
144: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
148: 00200293 li t0,2
|
||||
14c: fe5210e3 bne tp,t0,12c <test_11+0x8>
|
||||
|
||||
00000154 <test_12>:
|
||||
154: 00c00193 li gp,12
|
||||
158: 00000213 li tp,0
|
||||
15c: f00000b7 lui ra,0xf0000
|
||||
160: 00000013 nop
|
||||
164: f0000137 lui sp,0xf0000
|
||||
168: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
16c: 1820e863 bltu ra,sp,2fc <fail>
|
||||
170: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
174: 00200293 li t0,2
|
||||
178: fe5212e3 bne tp,t0,15c <test_12+0x8>
|
||||
00000150 <test_12>:
|
||||
150: 00c00193 li gp,12
|
||||
154: 00000213 li tp,0
|
||||
158: f00000b7 lui ra,0xf0000
|
||||
15c: 00000013 nop
|
||||
160: f0000137 lui sp,0xf0000
|
||||
164: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
168: 1820e863 bltu ra,sp,2f8 <fail>
|
||||
16c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
170: 00200293 li t0,2
|
||||
174: fe5212e3 bne tp,t0,158 <test_12+0x8>
|
||||
|
||||
0000017c <test_13>:
|
||||
17c: 00d00193 li gp,13
|
||||
180: 00000213 li tp,0
|
||||
184: f00000b7 lui ra,0xf0000
|
||||
188: 00000013 nop
|
||||
18c: f0000137 lui sp,0xf0000
|
||||
190: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
194: 00000013 nop
|
||||
198: 1620e263 bltu ra,sp,2fc <fail>
|
||||
19c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1a0: 00200293 li t0,2
|
||||
1a4: fe5210e3 bne tp,t0,184 <test_13+0x8>
|
||||
00000178 <test_13>:
|
||||
178: 00d00193 li gp,13
|
||||
17c: 00000213 li tp,0
|
||||
180: f00000b7 lui ra,0xf0000
|
||||
184: 00000013 nop
|
||||
188: f0000137 lui sp,0xf0000
|
||||
18c: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
190: 00000013 nop
|
||||
194: 1620e263 bltu ra,sp,2f8 <fail>
|
||||
198: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
19c: 00200293 li t0,2
|
||||
1a0: fe5210e3 bne tp,t0,180 <test_13+0x8>
|
||||
|
||||
000001a8 <test_14>:
|
||||
1a8: 00e00193 li gp,14
|
||||
1ac: 00000213 li tp,0
|
||||
1b0: f00000b7 lui ra,0xf0000
|
||||
000001a4 <test_14>:
|
||||
1a4: 00e00193 li gp,14
|
||||
1a8: 00000213 li tp,0
|
||||
1ac: f00000b7 lui ra,0xf0000
|
||||
1b0: 00000013 nop
|
||||
1b4: 00000013 nop
|
||||
1b8: 00000013 nop
|
||||
1bc: f0000137 lui sp,0xf0000
|
||||
1c0: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1c4: 1220ec63 bltu ra,sp,2fc <fail>
|
||||
1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1cc: 00200293 li t0,2
|
||||
1d0: fe5210e3 bne tp,t0,1b0 <test_14+0x8>
|
||||
1b8: f0000137 lui sp,0xf0000
|
||||
1bc: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1c0: 1220ec63 bltu ra,sp,2f8 <fail>
|
||||
1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1c8: 00200293 li t0,2
|
||||
1cc: fe5210e3 bne tp,t0,1ac <test_14+0x8>
|
||||
|
||||
000001d4 <test_15>:
|
||||
1d4: 00f00193 li gp,15
|
||||
1d8: 00000213 li tp,0
|
||||
1dc: f00000b7 lui ra,0xf0000
|
||||
1e0: f0000137 lui sp,0xf0000
|
||||
1e4: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1e8: 1020ea63 bltu ra,sp,2fc <fail>
|
||||
1ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f0: 00200293 li t0,2
|
||||
1f4: fe5214e3 bne tp,t0,1dc <test_15+0x8>
|
||||
000001d0 <test_15>:
|
||||
1d0: 00f00193 li gp,15
|
||||
1d4: 00000213 li tp,0
|
||||
1d8: f00000b7 lui ra,0xf0000
|
||||
1dc: f0000137 lui sp,0xf0000
|
||||
1e0: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
1e4: 1020ea63 bltu ra,sp,2f8 <fail>
|
||||
1e8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ec: 00200293 li t0,2
|
||||
1f0: fe5214e3 bne tp,t0,1d8 <test_15+0x8>
|
||||
|
||||
000001f8 <test_16>:
|
||||
1f8: 01000193 li gp,16
|
||||
1fc: 00000213 li tp,0
|
||||
200: f00000b7 lui ra,0xf0000
|
||||
204: f0000137 lui sp,0xf0000
|
||||
208: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
20c: 00000013 nop
|
||||
210: 0e20e663 bltu ra,sp,2fc <fail>
|
||||
214: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
218: 00200293 li t0,2
|
||||
21c: fe5212e3 bne tp,t0,200 <test_16+0x8>
|
||||
000001f4 <test_16>:
|
||||
1f4: 01000193 li gp,16
|
||||
1f8: 00000213 li tp,0
|
||||
1fc: f00000b7 lui ra,0xf0000
|
||||
200: f0000137 lui sp,0xf0000
|
||||
204: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
208: 00000013 nop
|
||||
20c: 0e20e663 bltu ra,sp,2f8 <fail>
|
||||
210: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
214: 00200293 li t0,2
|
||||
218: fe5212e3 bne tp,t0,1fc <test_16+0x8>
|
||||
|
||||
00000220 <test_17>:
|
||||
220: 01100193 li gp,17
|
||||
224: 00000213 li tp,0
|
||||
228: f00000b7 lui ra,0xf0000
|
||||
22c: f0000137 lui sp,0xf0000
|
||||
230: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
0000021c <test_17>:
|
||||
21c: 01100193 li gp,17
|
||||
220: 00000213 li tp,0
|
||||
224: f00000b7 lui ra,0xf0000
|
||||
228: f0000137 lui sp,0xf0000
|
||||
22c: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
230: 00000013 nop
|
||||
234: 00000013 nop
|
||||
238: 00000013 nop
|
||||
23c: 0c20e063 bltu ra,sp,2fc <fail>
|
||||
240: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
244: 00200293 li t0,2
|
||||
248: fe5210e3 bne tp,t0,228 <test_17+0x8>
|
||||
238: 0c20e063 bltu ra,sp,2f8 <fail>
|
||||
23c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
240: 00200293 li t0,2
|
||||
244: fe5210e3 bne tp,t0,224 <test_17+0x8>
|
||||
|
||||
0000024c <test_18>:
|
||||
24c: 01200193 li gp,18
|
||||
250: 00000213 li tp,0
|
||||
254: f00000b7 lui ra,0xf0000
|
||||
258: 00000013 nop
|
||||
25c: f0000137 lui sp,0xf0000
|
||||
260: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
264: 0820ec63 bltu ra,sp,2fc <fail>
|
||||
268: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
26c: 00200293 li t0,2
|
||||
270: fe5212e3 bne tp,t0,254 <test_18+0x8>
|
||||
00000248 <test_18>:
|
||||
248: 01200193 li gp,18
|
||||
24c: 00000213 li tp,0
|
||||
250: f00000b7 lui ra,0xf0000
|
||||
254: 00000013 nop
|
||||
258: f0000137 lui sp,0xf0000
|
||||
25c: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
260: 0820ec63 bltu ra,sp,2f8 <fail>
|
||||
264: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
268: 00200293 li t0,2
|
||||
26c: fe5212e3 bne tp,t0,250 <test_18+0x8>
|
||||
|
||||
00000274 <test_19>:
|
||||
274: 01300193 li gp,19
|
||||
278: 00000213 li tp,0
|
||||
27c: f00000b7 lui ra,0xf0000
|
||||
280: 00000013 nop
|
||||
284: f0000137 lui sp,0xf0000
|
||||
288: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
28c: 00000013 nop
|
||||
290: 0620e663 bltu ra,sp,2fc <fail>
|
||||
294: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
298: 00200293 li t0,2
|
||||
29c: fe5210e3 bne tp,t0,27c <test_19+0x8>
|
||||
00000270 <test_19>:
|
||||
270: 01300193 li gp,19
|
||||
274: 00000213 li tp,0
|
||||
278: f00000b7 lui ra,0xf0000
|
||||
27c: 00000013 nop
|
||||
280: f0000137 lui sp,0xf0000
|
||||
284: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
288: 00000013 nop
|
||||
28c: 0620e663 bltu ra,sp,2f8 <fail>
|
||||
290: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
294: 00200293 li t0,2
|
||||
298: fe5210e3 bne tp,t0,278 <test_19+0x8>
|
||||
|
||||
000002a0 <test_20>:
|
||||
2a0: 01400193 li gp,20
|
||||
2a4: 00000213 li tp,0
|
||||
2a8: f00000b7 lui ra,0xf0000
|
||||
0000029c <test_20>:
|
||||
29c: 01400193 li gp,20
|
||||
2a0: 00000213 li tp,0
|
||||
2a4: f00000b7 lui ra,0xf0000
|
||||
2a8: 00000013 nop
|
||||
2ac: 00000013 nop
|
||||
2b0: 00000013 nop
|
||||
2b4: f0000137 lui sp,0xf0000
|
||||
2b8: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
2bc: 0420e063 bltu ra,sp,2fc <fail>
|
||||
2c0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2c4: 00200293 li t0,2
|
||||
2c8: fe5210e3 bne tp,t0,2a8 <test_20+0x8>
|
||||
2b0: f0000137 lui sp,0xf0000
|
||||
2b4: fff10113 addi sp,sp,-1 # efffffff <begin_signature+0xefffefff>
|
||||
2b8: 0420e063 bltu ra,sp,2f8 <fail>
|
||||
2bc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
2c0: 00200293 li t0,2
|
||||
2c4: fe5210e3 bne tp,t0,2a4 <test_20+0x8>
|
||||
|
||||
000002cc <test_21>:
|
||||
2cc: 00100093 li ra,1
|
||||
2d0: 00106a63 bltu zero,ra,2e4 <test_21+0x18>
|
||||
2d4: 00108093 addi ra,ra,1 # f0000001 <begin_signature+0xeffff001>
|
||||
000002c8 <test_21>:
|
||||
2c8: 00100093 li ra,1
|
||||
2cc: 00106a63 bltu zero,ra,2e0 <test_21+0x18>
|
||||
2d0: 00108093 addi ra,ra,1 # f0000001 <begin_signature+0xeffff001>
|
||||
2d4: 00108093 addi ra,ra,1
|
||||
2d8: 00108093 addi ra,ra,1
|
||||
2dc: 00108093 addi ra,ra,1
|
||||
2e0: 00108093 addi ra,ra,1
|
||||
2e4: 00108093 addi ra,ra,1
|
||||
2e8: 00108093 addi ra,ra,1
|
||||
2ec: 00300e93 li t4,3
|
||||
2f0: 01500193 li gp,21
|
||||
2f4: 01d09463 bne ra,t4,2fc <fail>
|
||||
2f8: 00301863 bne zero,gp,308 <pass>
|
||||
2e8: 00300e93 li t4,3
|
||||
2ec: 01500193 li gp,21
|
||||
2f0: 01d09463 bne ra,t4,2f8 <fail>
|
||||
2f4: 00301663 bne zero,gp,300 <pass>
|
||||
|
||||
000002fc <fail>:
|
||||
2fc: 00000d93 li s11,0
|
||||
300: 00100d13 li s10,1
|
||||
000002f8 <fail>:
|
||||
2f8: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000304 <loop_fail>:
|
||||
304: 0000006f j 304 <loop_fail>
|
||||
000002fc <loop_fail>:
|
||||
2fc: 0000006f j 2fc <loop_fail>
|
||||
|
||||
00000308 <pass>:
|
||||
308: 00100d93 li s11,1
|
||||
30c: 00100d13 li s10,1
|
||||
00000300 <pass>:
|
||||
300: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000310 <loop_pass>:
|
||||
310: 0000006f j 310 <loop_pass>
|
||||
00000304 <loop_pass>:
|
||||
304: 0000006f j 304 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -263,14 +260,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
00100113
|
||||
|
@ -188,12 +187,10 @@ fe5210e3
|
|||
00300e93
|
||||
01500193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -240,3 +237,6 @@ fe5210e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,54 +1,54 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
13 01 10 00 63 E6 20 00 63 12 30 2E 63 16 30 00
|
||||
E3 EE 20 FE 63 1C 30 2C 93 01 30 00 93 00 E0 FF
|
||||
13 01 F0 FF 63 E6 20 00 63 12 30 2C 63 16 30 00
|
||||
E3 EE 20 FE 63 1C 30 2A 93 01 40 00 93 00 00 00
|
||||
13 01 F0 FF 63 E6 20 00 63 12 30 2A 63 16 30 00
|
||||
E3 EE 20 FE 63 1C 30 28 93 01 50 00 93 00 10 00
|
||||
13 01 00 00 63 E4 20 00 63 14 30 00 63 10 30 28
|
||||
E3 EE 20 FE 93 01 60 00 93 00 F0 FF 13 01 E0 FF
|
||||
63 E4 20 00 63 14 30 00 63 12 30 26 E3 EE 20 FE
|
||||
93 01 70 00 93 00 F0 FF 13 01 00 00 63 E4 20 00
|
||||
63 14 30 00 63 14 30 24 E3 EE 20 FE 93 01 80 00
|
||||
B7 00 00 80 37 01 00 80 13 01 F1 FF 63 E4 20 00
|
||||
63 14 30 00 63 14 30 22 E3 EE 20 FE 93 01 90 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 13 01 10 00
|
||||
63 E6 20 00 63 12 30 2E 63 16 30 00 E3 EE 20 FE
|
||||
63 1C 30 2C 93 01 30 00 93 00 E0 FF 13 01 F0 FF
|
||||
63 E6 20 00 63 12 30 2C 63 16 30 00 E3 EE 20 FE
|
||||
63 1C 30 2A 93 01 40 00 93 00 00 00 13 01 F0 FF
|
||||
63 E6 20 00 63 12 30 2A 63 16 30 00 E3 EE 20 FE
|
||||
63 1C 30 28 93 01 50 00 93 00 10 00 13 01 00 00
|
||||
63 E4 20 00 63 14 30 00 63 10 30 28 E3 EE 20 FE
|
||||
93 01 60 00 93 00 F0 FF 13 01 E0 FF 63 E4 20 00
|
||||
63 14 30 00 63 12 30 26 E3 EE 20 FE 93 01 70 00
|
||||
93 00 F0 FF 13 01 00 00 63 E4 20 00 63 14 30 00
|
||||
63 14 30 24 E3 EE 20 FE 93 01 80 00 B7 00 00 80
|
||||
37 01 00 80 13 01 F1 FF 63 E4 20 00 63 14 30 00
|
||||
63 14 30 22 E3 EE 20 FE 93 01 90 00 13 02 00 00
|
||||
B7 00 00 F0 37 01 00 F0 13 01 F1 FF 63 E6 20 20
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 A0 00
|
||||
13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF
|
||||
63 E6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0
|
||||
13 01 F1 FF 13 00 00 00 63 E2 20 1E 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 B0 00 13 02 00 00
|
||||
B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00
|
||||
13 00 00 00 63 EC 20 1A 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 C0 00 13 02 00 00 B7 00 00 F0
|
||||
13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E8 20 18
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 D0 00
|
||||
13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0
|
||||
13 01 F1 FF 13 00 00 00 63 E2 20 16 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 01 E0 00 13 02 00 00
|
||||
B7 00 00 F0 13 00 00 00 13 00 00 00 37 01 00 F0
|
||||
13 01 F1 FF 63 EC 20 12 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0
|
||||
37 01 00 F0 13 01 F1 FF 63 EA 20 10 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00
|
||||
B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00
|
||||
63 E6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 10 01 13 02 00 00 B7 00 00 F0 37 01 00 F0
|
||||
13 01 F1 FF 13 00 00 00 13 00 00 00 63 E0 20 0C
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 20 01
|
||||
13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0
|
||||
13 01 F1 FF 63 EC 20 08 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0
|
||||
13 00 00 00 37 01 00 F0 13 01 F1 FF 13 00 00 00
|
||||
63 E6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 40 01 13 02 00 00 B7 00 00 F0 13 00 00 00
|
||||
13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E0 20 04
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00
|
||||
63 6A 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00
|
||||
93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00
|
||||
13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
13 00 00 00 63 E2 20 1E 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 B0 00 13 02 00 00 B7 00 00 F0
|
||||
37 01 00 F0 13 01 F1 FF 13 00 00 00 13 00 00 00
|
||||
63 EC 20 1A 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 C0 00 13 02 00 00 B7 00 00 F0 13 00 00 00
|
||||
37 01 00 F0 13 01 F1 FF 63 E8 20 18 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 D0 00 13 02 00 00
|
||||
B7 00 00 F0 13 00 00 00 37 01 00 F0 13 01 F1 FF
|
||||
13 00 00 00 63 E2 20 16 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 E0 00 13 02 00 00 B7 00 00 F0
|
||||
13 00 00 00 13 00 00 00 37 01 00 F0 13 01 F1 FF
|
||||
63 EC 20 12 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 F0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0
|
||||
13 01 F1 FF 63 EA 20 10 13 02 12 00 93 02 20 00
|
||||
E3 14 52 FE 93 01 00 01 13 02 00 00 B7 00 00 F0
|
||||
37 01 00 F0 13 01 F1 FF 13 00 00 00 63 E6 20 0E
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 10 01
|
||||
13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF
|
||||
13 00 00 00 13 00 00 00 63 E0 20 0C 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 01 20 01 13 02 00 00
|
||||
B7 00 00 F0 13 00 00 00 37 01 00 F0 13 01 F1 FF
|
||||
63 EC 20 08 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 30 01 13 02 00 00 B7 00 00 F0 13 00 00 00
|
||||
37 01 00 F0 13 01 F1 FF 13 00 00 00 63 E6 20 06
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 40 01
|
||||
13 02 00 00 B7 00 00 F0 13 00 00 00 13 00 00 00
|
||||
37 01 00 F0 13 01 F1 FF 63 E0 20 04 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 00 10 00 63 6A 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01
|
||||
63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00
|
||||
73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,239 +5,236 @@ generated/rv32ui-p-bne: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 00100113 li sp,1
|
||||
14: 00209663 bne ra,sp,20 <test_2+0x18>
|
||||
18: 2a301a63 bne zero,gp,2cc <fail>
|
||||
1c: 00301663 bne zero,gp,28 <test_3>
|
||||
20: fe209ee3 bne ra,sp,1c <test_2+0x14>
|
||||
24: 2a301463 bne zero,gp,2cc <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 00100113 li sp,1
|
||||
10: 00209663 bne ra,sp,1c <test_2+0x18>
|
||||
14: 2a301a63 bne zero,gp,2c8 <fail>
|
||||
18: 00301663 bne zero,gp,24 <test_3>
|
||||
1c: fe209ee3 bne ra,sp,18 <test_2+0x14>
|
||||
20: 2a301463 bne zero,gp,2c8 <fail>
|
||||
|
||||
00000028 <test_3>:
|
||||
28: 00300193 li gp,3
|
||||
2c: 00100093 li ra,1
|
||||
30: 00000113 li sp,0
|
||||
34: 00209663 bne ra,sp,40 <test_3+0x18>
|
||||
38: 28301a63 bne zero,gp,2cc <fail>
|
||||
3c: 00301663 bne zero,gp,48 <test_4>
|
||||
40: fe209ee3 bne ra,sp,3c <test_3+0x14>
|
||||
44: 28301463 bne zero,gp,2cc <fail>
|
||||
00000024 <test_3>:
|
||||
24: 00300193 li gp,3
|
||||
28: 00100093 li ra,1
|
||||
2c: 00000113 li sp,0
|
||||
30: 00209663 bne ra,sp,3c <test_3+0x18>
|
||||
34: 28301a63 bne zero,gp,2c8 <fail>
|
||||
38: 00301663 bne zero,gp,44 <test_4>
|
||||
3c: fe209ee3 bne ra,sp,38 <test_3+0x14>
|
||||
40: 28301463 bne zero,gp,2c8 <fail>
|
||||
|
||||
00000048 <test_4>:
|
||||
48: 00400193 li gp,4
|
||||
4c: fff00093 li ra,-1
|
||||
50: 00100113 li sp,1
|
||||
54: 00209663 bne ra,sp,60 <test_4+0x18>
|
||||
58: 26301a63 bne zero,gp,2cc <fail>
|
||||
5c: 00301663 bne zero,gp,68 <test_5>
|
||||
60: fe209ee3 bne ra,sp,5c <test_4+0x14>
|
||||
64: 26301463 bne zero,gp,2cc <fail>
|
||||
00000044 <test_4>:
|
||||
44: 00400193 li gp,4
|
||||
48: fff00093 li ra,-1
|
||||
4c: 00100113 li sp,1
|
||||
50: 00209663 bne ra,sp,5c <test_4+0x18>
|
||||
54: 26301a63 bne zero,gp,2c8 <fail>
|
||||
58: 00301663 bne zero,gp,64 <test_5>
|
||||
5c: fe209ee3 bne ra,sp,58 <test_4+0x14>
|
||||
60: 26301463 bne zero,gp,2c8 <fail>
|
||||
|
||||
00000068 <test_5>:
|
||||
68: 00500193 li gp,5
|
||||
6c: 00100093 li ra,1
|
||||
70: fff00113 li sp,-1
|
||||
74: 00209663 bne ra,sp,80 <test_5+0x18>
|
||||
78: 24301a63 bne zero,gp,2cc <fail>
|
||||
7c: 00301663 bne zero,gp,88 <test_6>
|
||||
80: fe209ee3 bne ra,sp,7c <test_5+0x14>
|
||||
84: 24301463 bne zero,gp,2cc <fail>
|
||||
00000064 <test_5>:
|
||||
64: 00500193 li gp,5
|
||||
68: 00100093 li ra,1
|
||||
6c: fff00113 li sp,-1
|
||||
70: 00209663 bne ra,sp,7c <test_5+0x18>
|
||||
74: 24301a63 bne zero,gp,2c8 <fail>
|
||||
78: 00301663 bne zero,gp,84 <test_6>
|
||||
7c: fe209ee3 bne ra,sp,78 <test_5+0x14>
|
||||
80: 24301463 bne zero,gp,2c8 <fail>
|
||||
|
||||
00000088 <test_6>:
|
||||
88: 00600193 li gp,6
|
||||
8c: 00000093 li ra,0
|
||||
90: 00000113 li sp,0
|
||||
94: 00209463 bne ra,sp,9c <test_6+0x14>
|
||||
98: 00301463 bne zero,gp,a0 <test_6+0x18>
|
||||
9c: 22301863 bne zero,gp,2cc <fail>
|
||||
a0: fe209ee3 bne ra,sp,9c <test_6+0x14>
|
||||
00000084 <test_6>:
|
||||
84: 00600193 li gp,6
|
||||
88: 00000093 li ra,0
|
||||
8c: 00000113 li sp,0
|
||||
90: 00209463 bne ra,sp,98 <test_6+0x14>
|
||||
94: 00301463 bne zero,gp,9c <test_6+0x18>
|
||||
98: 22301863 bne zero,gp,2c8 <fail>
|
||||
9c: fe209ee3 bne ra,sp,98 <test_6+0x14>
|
||||
|
||||
000000a4 <test_7>:
|
||||
a4: 00700193 li gp,7
|
||||
a8: 00100093 li ra,1
|
||||
ac: 00100113 li sp,1
|
||||
b0: 00209463 bne ra,sp,b8 <test_7+0x14>
|
||||
b4: 00301463 bne zero,gp,bc <test_7+0x18>
|
||||
b8: 20301a63 bne zero,gp,2cc <fail>
|
||||
bc: fe209ee3 bne ra,sp,b8 <test_7+0x14>
|
||||
000000a0 <test_7>:
|
||||
a0: 00700193 li gp,7
|
||||
a4: 00100093 li ra,1
|
||||
a8: 00100113 li sp,1
|
||||
ac: 00209463 bne ra,sp,b4 <test_7+0x14>
|
||||
b0: 00301463 bne zero,gp,b8 <test_7+0x18>
|
||||
b4: 20301a63 bne zero,gp,2c8 <fail>
|
||||
b8: fe209ee3 bne ra,sp,b4 <test_7+0x14>
|
||||
|
||||
000000c0 <test_8>:
|
||||
c0: 00800193 li gp,8
|
||||
c4: fff00093 li ra,-1
|
||||
c8: fff00113 li sp,-1
|
||||
cc: 00209463 bne ra,sp,d4 <test_8+0x14>
|
||||
d0: 00301463 bne zero,gp,d8 <test_8+0x18>
|
||||
d4: 1e301c63 bne zero,gp,2cc <fail>
|
||||
d8: fe209ee3 bne ra,sp,d4 <test_8+0x14>
|
||||
000000bc <test_8>:
|
||||
bc: 00800193 li gp,8
|
||||
c0: fff00093 li ra,-1
|
||||
c4: fff00113 li sp,-1
|
||||
c8: 00209463 bne ra,sp,d0 <test_8+0x14>
|
||||
cc: 00301463 bne zero,gp,d4 <test_8+0x18>
|
||||
d0: 1e301c63 bne zero,gp,2c8 <fail>
|
||||
d4: fe209ee3 bne ra,sp,d0 <test_8+0x14>
|
||||
|
||||
000000dc <test_9>:
|
||||
dc: 00900193 li gp,9
|
||||
e0: 00000213 li tp,0
|
||||
e4: 00000093 li ra,0
|
||||
e8: 00000113 li sp,0
|
||||
ec: 1e209063 bne ra,sp,2cc <fail>
|
||||
f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
f4: 00200293 li t0,2
|
||||
f8: fe5216e3 bne tp,t0,e4 <test_9+0x8>
|
||||
000000d8 <test_9>:
|
||||
d8: 00900193 li gp,9
|
||||
dc: 00000213 li tp,0
|
||||
e0: 00000093 li ra,0
|
||||
e4: 00000113 li sp,0
|
||||
e8: 1e209063 bne ra,sp,2c8 <fail>
|
||||
ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
f0: 00200293 li t0,2
|
||||
f4: fe5216e3 bne tp,t0,e0 <test_9+0x8>
|
||||
|
||||
000000fc <test_10>:
|
||||
fc: 00a00193 li gp,10
|
||||
100: 00000213 li tp,0
|
||||
104: 00000093 li ra,0
|
||||
108: 00000113 li sp,0
|
||||
10c: 00000013 nop
|
||||
110: 1a209e63 bne ra,sp,2cc <fail>
|
||||
114: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
118: 00200293 li t0,2
|
||||
11c: fe5214e3 bne tp,t0,104 <test_10+0x8>
|
||||
000000f8 <test_10>:
|
||||
f8: 00a00193 li gp,10
|
||||
fc: 00000213 li tp,0
|
||||
100: 00000093 li ra,0
|
||||
104: 00000113 li sp,0
|
||||
108: 00000013 nop
|
||||
10c: 1a209e63 bne ra,sp,2c8 <fail>
|
||||
110: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
114: 00200293 li t0,2
|
||||
118: fe5214e3 bne tp,t0,100 <test_10+0x8>
|
||||
|
||||
00000120 <test_11>:
|
||||
120: 00b00193 li gp,11
|
||||
124: 00000213 li tp,0
|
||||
128: 00000093 li ra,0
|
||||
12c: 00000113 li sp,0
|
||||
0000011c <test_11>:
|
||||
11c: 00b00193 li gp,11
|
||||
120: 00000213 li tp,0
|
||||
124: 00000093 li ra,0
|
||||
128: 00000113 li sp,0
|
||||
12c: 00000013 nop
|
||||
130: 00000013 nop
|
||||
134: 00000013 nop
|
||||
138: 18209a63 bne ra,sp,2cc <fail>
|
||||
13c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
140: 00200293 li t0,2
|
||||
144: fe5212e3 bne tp,t0,128 <test_11+0x8>
|
||||
134: 18209a63 bne ra,sp,2c8 <fail>
|
||||
138: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
13c: 00200293 li t0,2
|
||||
140: fe5212e3 bne tp,t0,124 <test_11+0x8>
|
||||
|
||||
00000148 <test_12>:
|
||||
148: 00c00193 li gp,12
|
||||
14c: 00000213 li tp,0
|
||||
150: 00000093 li ra,0
|
||||
154: 00000013 nop
|
||||
158: 00000113 li sp,0
|
||||
15c: 16209863 bne ra,sp,2cc <fail>
|
||||
160: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
164: 00200293 li t0,2
|
||||
168: fe5214e3 bne tp,t0,150 <test_12+0x8>
|
||||
00000144 <test_12>:
|
||||
144: 00c00193 li gp,12
|
||||
148: 00000213 li tp,0
|
||||
14c: 00000093 li ra,0
|
||||
150: 00000013 nop
|
||||
154: 00000113 li sp,0
|
||||
158: 16209863 bne ra,sp,2c8 <fail>
|
||||
15c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
160: 00200293 li t0,2
|
||||
164: fe5214e3 bne tp,t0,14c <test_12+0x8>
|
||||
|
||||
0000016c <test_13>:
|
||||
16c: 00d00193 li gp,13
|
||||
170: 00000213 li tp,0
|
||||
174: 00000093 li ra,0
|
||||
178: 00000013 nop
|
||||
17c: 00000113 li sp,0
|
||||
180: 00000013 nop
|
||||
184: 14209463 bne ra,sp,2cc <fail>
|
||||
188: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
18c: 00200293 li t0,2
|
||||
190: fe5212e3 bne tp,t0,174 <test_13+0x8>
|
||||
00000168 <test_13>:
|
||||
168: 00d00193 li gp,13
|
||||
16c: 00000213 li tp,0
|
||||
170: 00000093 li ra,0
|
||||
174: 00000013 nop
|
||||
178: 00000113 li sp,0
|
||||
17c: 00000013 nop
|
||||
180: 14209463 bne ra,sp,2c8 <fail>
|
||||
184: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
188: 00200293 li t0,2
|
||||
18c: fe5212e3 bne tp,t0,170 <test_13+0x8>
|
||||
|
||||
00000194 <test_14>:
|
||||
194: 00e00193 li gp,14
|
||||
198: 00000213 li tp,0
|
||||
19c: 00000093 li ra,0
|
||||
00000190 <test_14>:
|
||||
190: 00e00193 li gp,14
|
||||
194: 00000213 li tp,0
|
||||
198: 00000093 li ra,0
|
||||
19c: 00000013 nop
|
||||
1a0: 00000013 nop
|
||||
1a4: 00000013 nop
|
||||
1a8: 00000113 li sp,0
|
||||
1ac: 12209063 bne ra,sp,2cc <fail>
|
||||
1b0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b4: 00200293 li t0,2
|
||||
1b8: fe5212e3 bne tp,t0,19c <test_14+0x8>
|
||||
1a4: 00000113 li sp,0
|
||||
1a8: 12209063 bne ra,sp,2c8 <fail>
|
||||
1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b0: 00200293 li t0,2
|
||||
1b4: fe5212e3 bne tp,t0,198 <test_14+0x8>
|
||||
|
||||
000001bc <test_15>:
|
||||
1bc: 00f00193 li gp,15
|
||||
1c0: 00000213 li tp,0
|
||||
1c4: 00000093 li ra,0
|
||||
1c8: 00000113 li sp,0
|
||||
1cc: 10209063 bne ra,sp,2cc <fail>
|
||||
1d0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d4: 00200293 li t0,2
|
||||
1d8: fe5216e3 bne tp,t0,1c4 <test_15+0x8>
|
||||
000001b8 <test_15>:
|
||||
1b8: 00f00193 li gp,15
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00000093 li ra,0
|
||||
1c4: 00000113 li sp,0
|
||||
1c8: 10209063 bne ra,sp,2c8 <fail>
|
||||
1cc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d0: 00200293 li t0,2
|
||||
1d4: fe5216e3 bne tp,t0,1c0 <test_15+0x8>
|
||||
|
||||
000001dc <test_16>:
|
||||
1dc: 01000193 li gp,16
|
||||
1e0: 00000213 li tp,0
|
||||
1e4: 00000093 li ra,0
|
||||
1e8: 00000113 li sp,0
|
||||
1ec: 00000013 nop
|
||||
1f0: 0c209e63 bne ra,sp,2cc <fail>
|
||||
1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f8: 00200293 li t0,2
|
||||
1fc: fe5214e3 bne tp,t0,1e4 <test_16+0x8>
|
||||
000001d8 <test_16>:
|
||||
1d8: 01000193 li gp,16
|
||||
1dc: 00000213 li tp,0
|
||||
1e0: 00000093 li ra,0
|
||||
1e4: 00000113 li sp,0
|
||||
1e8: 00000013 nop
|
||||
1ec: 0c209e63 bne ra,sp,2c8 <fail>
|
||||
1f0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f4: 00200293 li t0,2
|
||||
1f8: fe5214e3 bne tp,t0,1e0 <test_16+0x8>
|
||||
|
||||
00000200 <test_17>:
|
||||
200: 01100193 li gp,17
|
||||
204: 00000213 li tp,0
|
||||
208: 00000093 li ra,0
|
||||
20c: 00000113 li sp,0
|
||||
000001fc <test_17>:
|
||||
1fc: 01100193 li gp,17
|
||||
200: 00000213 li tp,0
|
||||
204: 00000093 li ra,0
|
||||
208: 00000113 li sp,0
|
||||
20c: 00000013 nop
|
||||
210: 00000013 nop
|
||||
214: 00000013 nop
|
||||
218: 0a209a63 bne ra,sp,2cc <fail>
|
||||
21c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
220: 00200293 li t0,2
|
||||
224: fe5212e3 bne tp,t0,208 <test_17+0x8>
|
||||
214: 0a209a63 bne ra,sp,2c8 <fail>
|
||||
218: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
21c: 00200293 li t0,2
|
||||
220: fe5212e3 bne tp,t0,204 <test_17+0x8>
|
||||
|
||||
00000228 <test_18>:
|
||||
228: 01200193 li gp,18
|
||||
22c: 00000213 li tp,0
|
||||
230: 00000093 li ra,0
|
||||
234: 00000013 nop
|
||||
238: 00000113 li sp,0
|
||||
23c: 08209863 bne ra,sp,2cc <fail>
|
||||
240: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
244: 00200293 li t0,2
|
||||
248: fe5214e3 bne tp,t0,230 <test_18+0x8>
|
||||
00000224 <test_18>:
|
||||
224: 01200193 li gp,18
|
||||
228: 00000213 li tp,0
|
||||
22c: 00000093 li ra,0
|
||||
230: 00000013 nop
|
||||
234: 00000113 li sp,0
|
||||
238: 08209863 bne ra,sp,2c8 <fail>
|
||||
23c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
240: 00200293 li t0,2
|
||||
244: fe5214e3 bne tp,t0,22c <test_18+0x8>
|
||||
|
||||
0000024c <test_19>:
|
||||
24c: 01300193 li gp,19
|
||||
250: 00000213 li tp,0
|
||||
254: 00000093 li ra,0
|
||||
258: 00000013 nop
|
||||
25c: 00000113 li sp,0
|
||||
260: 00000013 nop
|
||||
264: 06209463 bne ra,sp,2cc <fail>
|
||||
268: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
26c: 00200293 li t0,2
|
||||
270: fe5212e3 bne tp,t0,254 <test_19+0x8>
|
||||
00000248 <test_19>:
|
||||
248: 01300193 li gp,19
|
||||
24c: 00000213 li tp,0
|
||||
250: 00000093 li ra,0
|
||||
254: 00000013 nop
|
||||
258: 00000113 li sp,0
|
||||
25c: 00000013 nop
|
||||
260: 06209463 bne ra,sp,2c8 <fail>
|
||||
264: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
268: 00200293 li t0,2
|
||||
26c: fe5212e3 bne tp,t0,250 <test_19+0x8>
|
||||
|
||||
00000274 <test_20>:
|
||||
274: 01400193 li gp,20
|
||||
278: 00000213 li tp,0
|
||||
27c: 00000093 li ra,0
|
||||
00000270 <test_20>:
|
||||
270: 01400193 li gp,20
|
||||
274: 00000213 li tp,0
|
||||
278: 00000093 li ra,0
|
||||
27c: 00000013 nop
|
||||
280: 00000013 nop
|
||||
284: 00000013 nop
|
||||
288: 00000113 li sp,0
|
||||
28c: 04209063 bne ra,sp,2cc <fail>
|
||||
290: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
294: 00200293 li t0,2
|
||||
298: fe5212e3 bne tp,t0,27c <test_20+0x8>
|
||||
284: 00000113 li sp,0
|
||||
288: 04209063 bne ra,sp,2c8 <fail>
|
||||
28c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
290: 00200293 li t0,2
|
||||
294: fe5212e3 bne tp,t0,278 <test_20+0x8>
|
||||
|
||||
0000029c <test_21>:
|
||||
29c: 00100093 li ra,1
|
||||
2a0: 00009a63 bnez ra,2b4 <test_21+0x18>
|
||||
00000298 <test_21>:
|
||||
298: 00100093 li ra,1
|
||||
29c: 00009a63 bnez ra,2b0 <test_21+0x18>
|
||||
2a0: 00108093 addi ra,ra,1
|
||||
2a4: 00108093 addi ra,ra,1
|
||||
2a8: 00108093 addi ra,ra,1
|
||||
2ac: 00108093 addi ra,ra,1
|
||||
2b0: 00108093 addi ra,ra,1
|
||||
2b4: 00108093 addi ra,ra,1
|
||||
2b8: 00108093 addi ra,ra,1
|
||||
2bc: 00300e93 li t4,3
|
||||
2c0: 01500193 li gp,21
|
||||
2c4: 01d09463 bne ra,t4,2cc <fail>
|
||||
2c8: 00301863 bne zero,gp,2d8 <pass>
|
||||
2b8: 00300e93 li t4,3
|
||||
2bc: 01500193 li gp,21
|
||||
2c0: 01d09463 bne ra,t4,2c8 <fail>
|
||||
2c4: 00301663 bne zero,gp,2d0 <pass>
|
||||
|
||||
000002cc <fail>:
|
||||
2cc: 00000d93 li s11,0
|
||||
2d0: 00100d13 li s10,1
|
||||
000002c8 <fail>:
|
||||
2c8: 1000d073 csrwi sstatus,1
|
||||
|
||||
000002d4 <loop_fail>:
|
||||
2d4: 0000006f j 2d4 <loop_fail>
|
||||
000002cc <loop_fail>:
|
||||
2cc: 0000006f j 2cc <loop_fail>
|
||||
|
||||
000002d8 <pass>:
|
||||
2d8: 00100d93 li s11,1
|
||||
2dc: 00100d13 li s10,1
|
||||
000002d0 <pass>:
|
||||
2d0: 1001d073 csrwi sstatus,3
|
||||
|
||||
000002e0 <loop_pass>:
|
||||
2e0: 0000006f j 2e0 <loop_pass>
|
||||
000002d4 <loop_pass>:
|
||||
2d4: 0000006f j 2d4 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -251,14 +248,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
00100113
|
||||
|
@ -176,12 +175,10 @@ fe5212e3
|
|||
00300e93
|
||||
01500193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -224,3 +221,6 @@ fe5212e3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,51 +1,51 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
13 01 10 00 63 96 20 00 63 1A 30 2A 63 16 30 00
|
||||
E3 9E 20 FE 63 14 30 2A 93 01 30 00 93 00 10 00
|
||||
13 01 00 00 63 96 20 00 63 1A 30 28 63 16 30 00
|
||||
E3 9E 20 FE 63 14 30 28 93 01 40 00 93 00 F0 FF
|
||||
13 01 10 00 63 96 20 00 63 1A 30 26 63 16 30 00
|
||||
E3 9E 20 FE 63 14 30 26 93 01 50 00 93 00 10 00
|
||||
13 01 F0 FF 63 96 20 00 63 1A 30 24 63 16 30 00
|
||||
E3 9E 20 FE 63 14 30 24 93 01 60 00 93 00 00 00
|
||||
13 01 00 00 63 94 20 00 63 14 30 00 63 18 30 22
|
||||
E3 9E 20 FE 93 01 70 00 93 00 10 00 13 01 10 00
|
||||
63 94 20 00 63 14 30 00 63 1A 30 20 E3 9E 20 FE
|
||||
93 01 80 00 93 00 F0 FF 13 01 F0 FF 63 94 20 00
|
||||
63 14 30 00 63 1C 30 1E E3 9E 20 FE 93 01 90 00
|
||||
13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 1E
|
||||
13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 A0 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 13 01 10 00
|
||||
63 96 20 00 63 1A 30 2A 63 16 30 00 E3 9E 20 FE
|
||||
63 14 30 2A 93 01 30 00 93 00 10 00 13 01 00 00
|
||||
63 96 20 00 63 1A 30 28 63 16 30 00 E3 9E 20 FE
|
||||
63 14 30 28 93 01 40 00 93 00 F0 FF 13 01 10 00
|
||||
63 96 20 00 63 1A 30 26 63 16 30 00 E3 9E 20 FE
|
||||
63 14 30 26 93 01 50 00 93 00 10 00 13 01 F0 FF
|
||||
63 96 20 00 63 1A 30 24 63 16 30 00 E3 9E 20 FE
|
||||
63 14 30 24 93 01 60 00 93 00 00 00 13 01 00 00
|
||||
63 94 20 00 63 14 30 00 63 18 30 22 E3 9E 20 FE
|
||||
93 01 70 00 93 00 10 00 13 01 10 00 63 94 20 00
|
||||
63 14 30 00 63 1A 30 20 E3 9E 20 FE 93 01 80 00
|
||||
93 00 F0 FF 13 01 F0 FF 63 94 20 00 63 14 30 00
|
||||
63 1C 30 1E E3 9E 20 FE 93 01 90 00 13 02 00 00
|
||||
93 00 00 00 13 01 00 00 63 90 20 1E 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00
|
||||
93 00 00 00 13 01 00 00 13 00 00 00 63 9E 20 1A
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00
|
||||
13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00
|
||||
63 9E 20 1A 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00
|
||||
13 00 00 00 13 00 00 00 63 9A 20 18 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 C0 00 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 16
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00
|
||||
13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00
|
||||
13 00 00 00 63 94 20 14 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 E0 00 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 12
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 F0 00
|
||||
13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 10
|
||||
13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 00 01
|
||||
13 00 00 00 63 9A 20 18 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 00 00 63 98 20 16 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 00 00 13 00 00 00
|
||||
63 94 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 01 00 00 63 90 20 12 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00
|
||||
93 00 00 00 13 01 00 00 63 90 20 10 13 02 12 00
|
||||
93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00
|
||||
93 00 00 00 13 01 00 00 13 00 00 00 63 9E 20 0C
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01
|
||||
13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00
|
||||
63 9E 20 0C 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00
|
||||
13 00 00 00 13 00 00 00 63 9A 20 0A 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 08
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 30 01
|
||||
13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00
|
||||
13 00 00 00 63 94 20 06 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 40 01 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 04
|
||||
13 02 12 00 93 02 20 00 E3 12 52 FE 93 00 10 00
|
||||
63 9A 00 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00
|
||||
93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00
|
||||
13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
13 00 00 00 63 9A 20 0A 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00
|
||||
13 00 00 00 13 01 00 00 63 98 20 08 13 02 12 00
|
||||
93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00
|
||||
93 00 00 00 13 00 00 00 13 01 00 00 13 00 00 00
|
||||
63 94 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE
|
||||
93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 01 00 00 63 90 20 04 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 00 10 00 63 9A 00 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01
|
||||
63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00
|
||||
73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00000340
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,13 +5,13 @@ generated/rv32ui-p-fence_i: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
8: 06f00693 li a3,111
|
||||
c: 00001517 auipc a0,0x1
|
||||
10: ff451503 lh a0,-12(a0) # 1000 <begin_signature>
|
||||
14: 00001597 auipc a1,0x1
|
||||
18: fee59583 lh a1,-18(a1) # 1002 <begin_signature+0x2>
|
||||
0: 10005073 csrwi sstatus,0
|
||||
4: 06f00693 li a3,111
|
||||
8: 00001517 auipc a0,0x1
|
||||
c: ff851503 lh a0,-8(a0) # 1000 <begin_signature>
|
||||
10: 00001597 auipc a1,0x1
|
||||
14: ff259583 lh a1,-14(a1) # 1002 <begin_signature+0x2>
|
||||
18: 00000013 nop
|
||||
1c: 00000013 nop
|
||||
20: 00000013 nop
|
||||
24: 00000013 nop
|
||||
|
@ -62,21 +62,20 @@ Disassembly of section .text.init:
|
|||
c8: 30900e93 li t4,777
|
||||
cc: 00300193 li gp,3
|
||||
d0: 01d69463 bne a3,t4,d8 <fail>
|
||||
d4: 00301863 bne zero,gp,e4 <pass>
|
||||
d4: 00301663 bne zero,gp,e0 <pass>
|
||||
|
||||
000000d8 <fail>:
|
||||
d8: 00000d93 li s11,0
|
||||
dc: 00100d13 li s10,1
|
||||
d8: 1000d073 csrwi sstatus,1
|
||||
|
||||
000000e0 <loop_fail>:
|
||||
e0: 0000006f j e0 <loop_fail>
|
||||
000000dc <loop_fail>:
|
||||
dc: 0000006f j dc <loop_fail>
|
||||
|
||||
000000e4 <pass>:
|
||||
e4: 00100d93 li s11,1
|
||||
e8: 00100d13 li s10,1
|
||||
000000e0 <pass>:
|
||||
e0: 1001d073 csrwi sstatus,3
|
||||
|
||||
000000ec <loop_pass>:
|
||||
ec: 0000006f j ec <loop_pass>
|
||||
000000e4 <loop_pass>:
|
||||
e4: 0000006f j e4 <loop_pass>
|
||||
e8: 0000 unimp
|
||||
...
|
||||
|
||||
Disassembly of section .data:
|
||||
|
@ -96,14 +95,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <_start+0x14>
|
||||
c: 0000000f fence unknown,unknown
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,10 +1,10 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
06f00693
|
||||
00001517
|
||||
ff451503
|
||||
ff851503
|
||||
00001597
|
||||
fee59583
|
||||
ff259583
|
||||
00000013
|
||||
00000013
|
||||
00000013
|
||||
00000013
|
||||
|
@ -51,12 +51,10 @@ fe071ee3
|
|||
30900e93
|
||||
00300193
|
||||
01d69463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -1022,6 +1020,8 @@ fe071ee3
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
14d68693
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 06 F0 06 17 15 00 00
|
||||
03 15 45 FF 97 15 00 00 83 95 E5 FE 13 00 00 00
|
||||
73 50 00 10 93 06 F0 06 17 15 00 00 03 15 85 FF
|
||||
97 15 00 00 83 95 25 FF 13 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00
|
||||
97 02 00 00 23 9A A2 00 97 02 00 00 23 97 B2 00
|
||||
|
@ -12,12 +12,8 @@ E3 1E 07 FE 97 02 00 00 23 96 A2 04 97 02 00 00
|
|||
13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00
|
||||
13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00
|
||||
93 86 B6 22 13 00 00 00 93 0E 90 30 93 01 30 00
|
||||
63 94 D6 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00
|
||||
63 94 D6 01 63 16 30 00 73 D0 00 10 6F 00 00 00
|
||||
73 D0 01 10 6F 00 00 00 00 00 00 00
|
||||
@00001000
|
||||
93 86 D6 14 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
@00001040
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,51 +5,48 @@ generated/rv32ui-p-jal: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000093 li ra,0
|
||||
10: 0100026f jal tp,20 <target_2>
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000093 li ra,0
|
||||
c: 0100026f jal tp,1c <target_2>
|
||||
|
||||
00000014 <linkaddr_2>:
|
||||
00000010 <linkaddr_2>:
|
||||
10: 00000013 nop
|
||||
14: 00000013 nop
|
||||
18: 00000013 nop
|
||||
1c: 0400006f j 5c <fail>
|
||||
18: 0400006f j 58 <fail>
|
||||
|
||||
00000020 <target_2>:
|
||||
20: 00000117 auipc sp,0x0
|
||||
24: ff410113 addi sp,sp,-12 # 14 <linkaddr_2>
|
||||
28: 02411a63 bne sp,tp,5c <fail>
|
||||
0000001c <target_2>:
|
||||
1c: 00000117 auipc sp,0x0
|
||||
20: ff410113 addi sp,sp,-12 # 10 <linkaddr_2>
|
||||
24: 02411a63 bne sp,tp,58 <fail>
|
||||
|
||||
0000002c <test_3>:
|
||||
2c: 00100093 li ra,1
|
||||
30: 0140006f j 44 <test_3+0x18>
|
||||
00000028 <test_3>:
|
||||
28: 00100093 li ra,1
|
||||
2c: 0140006f j 40 <test_3+0x18>
|
||||
30: 00108093 addi ra,ra,1
|
||||
34: 00108093 addi ra,ra,1
|
||||
38: 00108093 addi ra,ra,1
|
||||
3c: 00108093 addi ra,ra,1
|
||||
40: 00108093 addi ra,ra,1
|
||||
44: 00108093 addi ra,ra,1
|
||||
48: 00108093 addi ra,ra,1
|
||||
4c: 00300e93 li t4,3
|
||||
50: 00300193 li gp,3
|
||||
54: 01d09463 bne ra,t4,5c <fail>
|
||||
58: 00301863 bne zero,gp,68 <pass>
|
||||
48: 00300e93 li t4,3
|
||||
4c: 00300193 li gp,3
|
||||
50: 01d09463 bne ra,t4,58 <fail>
|
||||
54: 00301663 bne zero,gp,60 <pass>
|
||||
|
||||
0000005c <fail>:
|
||||
5c: 00000d93 li s11,0
|
||||
60: 00100d13 li s10,1
|
||||
00000058 <fail>:
|
||||
58: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000064 <loop_fail>:
|
||||
64: 0000006f j 64 <loop_fail>
|
||||
0000005c <loop_fail>:
|
||||
5c: 0000006f j 5c <loop_fail>
|
||||
|
||||
00000068 <pass>:
|
||||
68: 00100d93 li s11,1
|
||||
6c: 00100d13 li s10,1
|
||||
00000060 <pass>:
|
||||
60: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000070 <loop_pass>:
|
||||
70: 0000006f j 70 <loop_pass>
|
||||
00000064 <loop_pass>:
|
||||
64: 0000006f j 64 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -63,14 +60,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <linkaddr_2>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <linkaddr_2+0x4>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000093
|
||||
0100026f
|
||||
|
@ -20,12 +19,10 @@ ff410113
|
|||
00300e93
|
||||
00300193
|
||||
01d09463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -64,3 +61,6 @@ ff410113
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,12 +1,12 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00
|
||||
6F 02 00 01 13 00 00 00 13 00 00 00 6F 00 00 04
|
||||
17 01 00 00 13 01 41 FF 63 1A 41 02 93 00 10 00
|
||||
6F 00 40 01 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00
|
||||
93 01 30 00 63 94 D0 01 63 18 30 00 93 0D 00 00
|
||||
13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
73 50 00 10 93 01 20 00 93 00 00 00 6F 02 00 01
|
||||
13 00 00 00 13 00 00 00 6F 00 00 04 17 01 00 00
|
||||
13 01 41 FF 63 1A 41 02 93 00 10 00 6F 00 40 01
|
||||
93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00
|
||||
93 80 10 00 93 80 10 00 93 0E 30 00 93 01 30 00
|
||||
63 94 D0 01 63 16 30 00 73 D0 00 10 6F 00 00 00
|
||||
73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@000000C0
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,89 +5,86 @@ generated/rv32ui-p-jalr: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00200193 li gp,2
|
||||
c: 00000293 li t0,0
|
||||
10: 00000317 auipc t1,0x0
|
||||
14: 01030313 addi t1,t1,16 # 20 <target_2>
|
||||
18: 000302e7 jalr t0,t1
|
||||
00000004 <test_2>:
|
||||
4: 00200193 li gp,2
|
||||
8: 00000293 li t0,0
|
||||
c: 00000317 auipc t1,0x0
|
||||
10: 01030313 addi t1,t1,16 # 1c <target_2>
|
||||
14: 000302e7 jalr t0,t1
|
||||
|
||||
0000001c <linkaddr_2>:
|
||||
1c: 0c00006f j dc <fail>
|
||||
00000018 <linkaddr_2>:
|
||||
18: 0c00006f j d8 <fail>
|
||||
|
||||
00000020 <target_2>:
|
||||
20: 00000317 auipc t1,0x0
|
||||
24: ffc30313 addi t1,t1,-4 # 1c <linkaddr_2>
|
||||
28: 0a629a63 bne t0,t1,dc <fail>
|
||||
0000001c <target_2>:
|
||||
1c: 00000317 auipc t1,0x0
|
||||
20: ffc30313 addi t1,t1,-4 # 18 <linkaddr_2>
|
||||
24: 0a629a63 bne t0,t1,d8 <fail>
|
||||
|
||||
0000002c <test_4>:
|
||||
2c: 00400193 li gp,4
|
||||
30: 00000213 li tp,0
|
||||
34: 00000317 auipc t1,0x0
|
||||
38: 01030313 addi t1,t1,16 # 44 <test_4+0x18>
|
||||
3c: 000309e7 jalr s3,t1
|
||||
40: 08301e63 bne zero,gp,dc <fail>
|
||||
44: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
48: 00200293 li t0,2
|
||||
4c: fe5214e3 bne tp,t0,34 <test_4+0x8>
|
||||
00000028 <test_4>:
|
||||
28: 00400193 li gp,4
|
||||
2c: 00000213 li tp,0
|
||||
30: 00000317 auipc t1,0x0
|
||||
34: 01030313 addi t1,t1,16 # 40 <test_4+0x18>
|
||||
38: 000309e7 jalr s3,t1
|
||||
3c: 08301e63 bne zero,gp,d8 <fail>
|
||||
40: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
44: 00200293 li t0,2
|
||||
48: fe5214e3 bne tp,t0,30 <test_4+0x8>
|
||||
|
||||
00000050 <test_5>:
|
||||
50: 00500193 li gp,5
|
||||
54: 00000213 li tp,0
|
||||
58: 00000317 auipc t1,0x0
|
||||
5c: 01430313 addi t1,t1,20 # 6c <test_5+0x1c>
|
||||
60: 00000013 nop
|
||||
64: 000309e7 jalr s3,t1
|
||||
68: 06301a63 bne zero,gp,dc <fail>
|
||||
6c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
70: 00200293 li t0,2
|
||||
74: fe5212e3 bne tp,t0,58 <test_5+0x8>
|
||||
0000004c <test_5>:
|
||||
4c: 00500193 li gp,5
|
||||
50: 00000213 li tp,0
|
||||
54: 00000317 auipc t1,0x0
|
||||
58: 01430313 addi t1,t1,20 # 68 <test_5+0x1c>
|
||||
5c: 00000013 nop
|
||||
60: 000309e7 jalr s3,t1
|
||||
64: 06301a63 bne zero,gp,d8 <fail>
|
||||
68: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
6c: 00200293 li t0,2
|
||||
70: fe5212e3 bne tp,t0,54 <test_5+0x8>
|
||||
|
||||
00000078 <test_6>:
|
||||
78: 00600193 li gp,6
|
||||
7c: 00000213 li tp,0
|
||||
80: 00000317 auipc t1,0x0
|
||||
84: 01830313 addi t1,t1,24 # 98 <test_6+0x20>
|
||||
00000074 <test_6>:
|
||||
74: 00600193 li gp,6
|
||||
78: 00000213 li tp,0
|
||||
7c: 00000317 auipc t1,0x0
|
||||
80: 01830313 addi t1,t1,24 # 94 <test_6+0x20>
|
||||
84: 00000013 nop
|
||||
88: 00000013 nop
|
||||
8c: 00000013 nop
|
||||
90: 000309e7 jalr s3,t1
|
||||
94: 04301463 bne zero,gp,dc <fail>
|
||||
98: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
9c: 00200293 li t0,2
|
||||
a0: fe5210e3 bne tp,t0,80 <test_6+0x8>
|
||||
8c: 000309e7 jalr s3,t1
|
||||
90: 04301463 bne zero,gp,d8 <fail>
|
||||
94: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
98: 00200293 li t0,2
|
||||
9c: fe5210e3 bne tp,t0,7c <test_6+0x8>
|
||||
|
||||
000000a4 <test_7>:
|
||||
a4: 00100293 li t0,1
|
||||
a8: 00000317 auipc t1,0x0
|
||||
ac: 01c30313 addi t1,t1,28 # c4 <test_7+0x20>
|
||||
b0: ffc30067 jr -4(t1)
|
||||
000000a0 <test_7>:
|
||||
a0: 00100293 li t0,1
|
||||
a4: 00000317 auipc t1,0x0
|
||||
a8: 01c30313 addi t1,t1,28 # c0 <test_7+0x20>
|
||||
ac: ffc30067 jr -4(t1)
|
||||
b0: 00128293 addi t0,t0,1
|
||||
b4: 00128293 addi t0,t0,1
|
||||
b8: 00128293 addi t0,t0,1
|
||||
bc: 00128293 addi t0,t0,1
|
||||
c0: 00128293 addi t0,t0,1
|
||||
c4: 00128293 addi t0,t0,1
|
||||
c8: 00128293 addi t0,t0,1
|
||||
cc: 00400e93 li t4,4
|
||||
d0: 00700193 li gp,7
|
||||
d4: 01d29463 bne t0,t4,dc <fail>
|
||||
d8: 00301863 bne zero,gp,e8 <pass>
|
||||
c8: 00400e93 li t4,4
|
||||
cc: 00700193 li gp,7
|
||||
d0: 01d29463 bne t0,t4,d8 <fail>
|
||||
d4: 00301663 bne zero,gp,e0 <pass>
|
||||
|
||||
000000dc <fail>:
|
||||
dc: 00000d93 li s11,0
|
||||
e0: 00100d13 li s10,1
|
||||
000000d8 <fail>:
|
||||
d8: 1000d073 csrwi sstatus,1
|
||||
|
||||
000000e4 <loop_fail>:
|
||||
e4: 0000006f j e4 <loop_fail>
|
||||
000000dc <loop_fail>:
|
||||
dc: 0000006f j dc <loop_fail>
|
||||
|
||||
000000e8 <pass>:
|
||||
e8: 00100d93 li s11,1
|
||||
ec: 00100d13 li s10,1
|
||||
000000e0 <pass>:
|
||||
e0: 1001d073 csrwi sstatus,3
|
||||
|
||||
000000f0 <loop_pass>:
|
||||
f0: 0000006f j f0 <loop_pass>
|
||||
000000e4 <loop_pass>:
|
||||
e4: 0000006f j e4 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -101,14 +98,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00200193
|
||||
00000293
|
||||
00000317
|
||||
|
@ -52,12 +51,10 @@ ffc30067
|
|||
00400e93
|
||||
00700193
|
||||
01d29463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -96,3 +93,6 @@ ffc30067
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,20 +1,20 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00
|
||||
17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C
|
||||
17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00
|
||||
13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00
|
||||
63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE
|
||||
93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01
|
||||
13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00
|
||||
17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00
|
||||
E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01
|
||||
67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00
|
||||
93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00
|
||||
93 01 70 00 63 94 D2 01 63 18 30 00 93 0D 00 00
|
||||
13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
73 50 00 10 93 01 20 00 93 02 00 00 17 03 00 00
|
||||
13 03 03 01 E7 02 03 00 6F 00 00 0C 17 03 00 00
|
||||
13 03 C3 FF 63 9A 62 0A 93 01 40 00 13 02 00 00
|
||||
17 03 00 00 13 03 03 01 E7 09 03 00 63 1E 30 08
|
||||
13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 50 00
|
||||
13 02 00 00 17 03 00 00 13 03 43 01 13 00 00 00
|
||||
E7 09 03 00 63 1A 30 06 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 60 00 13 02 00 00 17 03 00 00
|
||||
13 03 83 01 13 00 00 00 13 00 00 00 E7 09 03 00
|
||||
63 14 30 04 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 02 10 00 17 03 00 00 13 03 C3 01 67 00 C3 FF
|
||||
93 82 12 00 93 82 12 00 93 82 12 00 93 82 12 00
|
||||
93 82 12 00 93 82 12 00 93 0E 40 00 93 01 70 00
|
||||
63 94 D2 01 63 16 30 00 73 D0 00 10 6F 00 00 00
|
||||
73 D0 01 10 6F 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00000140
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,205 +5,202 @@ generated/rv32ui-p-lb: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00001097 auipc ra,0x1
|
||||
c: ff808093 addi ra,ra,-8 # 1000 <begin_signature>
|
||||
10: 00008f03 lb t5,0(ra)
|
||||
14: fff00e93 li t4,-1
|
||||
18: 00200193 li gp,2
|
||||
1c: 23df1c63 bne t5,t4,254 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00001097 auipc ra,0x1
|
||||
8: ffc08093 addi ra,ra,-4 # 1000 <begin_signature>
|
||||
c: 00008f03 lb t5,0(ra)
|
||||
10: fff00e93 li t4,-1
|
||||
14: 00200193 li gp,2
|
||||
18: 23df1c63 bne t5,t4,250 <fail>
|
||||
|
||||
00000020 <test_3>:
|
||||
20: 00001097 auipc ra,0x1
|
||||
24: fe008093 addi ra,ra,-32 # 1000 <begin_signature>
|
||||
28: 00108f03 lb t5,1(ra)
|
||||
2c: 00000e93 li t4,0
|
||||
30: 00300193 li gp,3
|
||||
34: 23df1063 bne t5,t4,254 <fail>
|
||||
0000001c <test_3>:
|
||||
1c: 00001097 auipc ra,0x1
|
||||
20: fe408093 addi ra,ra,-28 # 1000 <begin_signature>
|
||||
24: 00108f03 lb t5,1(ra)
|
||||
28: 00000e93 li t4,0
|
||||
2c: 00300193 li gp,3
|
||||
30: 23df1063 bne t5,t4,250 <fail>
|
||||
|
||||
00000038 <test_4>:
|
||||
38: 00001097 auipc ra,0x1
|
||||
3c: fc808093 addi ra,ra,-56 # 1000 <begin_signature>
|
||||
40: 00208f03 lb t5,2(ra)
|
||||
44: ff000e93 li t4,-16
|
||||
48: 00400193 li gp,4
|
||||
4c: 21df1463 bne t5,t4,254 <fail>
|
||||
00000034 <test_4>:
|
||||
34: 00001097 auipc ra,0x1
|
||||
38: fcc08093 addi ra,ra,-52 # 1000 <begin_signature>
|
||||
3c: 00208f03 lb t5,2(ra)
|
||||
40: ff000e93 li t4,-16
|
||||
44: 00400193 li gp,4
|
||||
48: 21df1463 bne t5,t4,250 <fail>
|
||||
|
||||
00000050 <test_5>:
|
||||
50: 00001097 auipc ra,0x1
|
||||
54: fb008093 addi ra,ra,-80 # 1000 <begin_signature>
|
||||
58: 00308f03 lb t5,3(ra)
|
||||
5c: 00f00e93 li t4,15
|
||||
60: 00500193 li gp,5
|
||||
64: 1fdf1863 bne t5,t4,254 <fail>
|
||||
0000004c <test_5>:
|
||||
4c: 00001097 auipc ra,0x1
|
||||
50: fb408093 addi ra,ra,-76 # 1000 <begin_signature>
|
||||
54: 00308f03 lb t5,3(ra)
|
||||
58: 00f00e93 li t4,15
|
||||
5c: 00500193 li gp,5
|
||||
60: 1fdf1863 bne t5,t4,250 <fail>
|
||||
|
||||
00000068 <test_6>:
|
||||
68: 00001097 auipc ra,0x1
|
||||
6c: f9b08093 addi ra,ra,-101 # 1003 <tdat4>
|
||||
70: ffd08f03 lb t5,-3(ra)
|
||||
74: fff00e93 li t4,-1
|
||||
78: 00600193 li gp,6
|
||||
7c: 1ddf1c63 bne t5,t4,254 <fail>
|
||||
00000064 <test_6>:
|
||||
64: 00001097 auipc ra,0x1
|
||||
68: f9f08093 addi ra,ra,-97 # 1003 <tdat4>
|
||||
6c: ffd08f03 lb t5,-3(ra)
|
||||
70: fff00e93 li t4,-1
|
||||
74: 00600193 li gp,6
|
||||
78: 1ddf1c63 bne t5,t4,250 <fail>
|
||||
|
||||
00000080 <test_7>:
|
||||
80: 00001097 auipc ra,0x1
|
||||
84: f8308093 addi ra,ra,-125 # 1003 <tdat4>
|
||||
88: ffe08f03 lb t5,-2(ra)
|
||||
8c: 00000e93 li t4,0
|
||||
90: 00700193 li gp,7
|
||||
94: 1ddf1063 bne t5,t4,254 <fail>
|
||||
0000007c <test_7>:
|
||||
7c: 00001097 auipc ra,0x1
|
||||
80: f8708093 addi ra,ra,-121 # 1003 <tdat4>
|
||||
84: ffe08f03 lb t5,-2(ra)
|
||||
88: 00000e93 li t4,0
|
||||
8c: 00700193 li gp,7
|
||||
90: 1ddf1063 bne t5,t4,250 <fail>
|
||||
|
||||
00000098 <test_8>:
|
||||
98: 00001097 auipc ra,0x1
|
||||
9c: f6b08093 addi ra,ra,-149 # 1003 <tdat4>
|
||||
a0: fff08f03 lb t5,-1(ra)
|
||||
a4: ff000e93 li t4,-16
|
||||
a8: 00800193 li gp,8
|
||||
ac: 1bdf1463 bne t5,t4,254 <fail>
|
||||
00000094 <test_8>:
|
||||
94: 00001097 auipc ra,0x1
|
||||
98: f6f08093 addi ra,ra,-145 # 1003 <tdat4>
|
||||
9c: fff08f03 lb t5,-1(ra)
|
||||
a0: ff000e93 li t4,-16
|
||||
a4: 00800193 li gp,8
|
||||
a8: 1bdf1463 bne t5,t4,250 <fail>
|
||||
|
||||
000000b0 <test_9>:
|
||||
b0: 00001097 auipc ra,0x1
|
||||
b4: f5308093 addi ra,ra,-173 # 1003 <tdat4>
|
||||
b8: 00008f03 lb t5,0(ra)
|
||||
bc: 00f00e93 li t4,15
|
||||
c0: 00900193 li gp,9
|
||||
c4: 19df1863 bne t5,t4,254 <fail>
|
||||
000000ac <test_9>:
|
||||
ac: 00001097 auipc ra,0x1
|
||||
b0: f5708093 addi ra,ra,-169 # 1003 <tdat4>
|
||||
b4: 00008f03 lb t5,0(ra)
|
||||
b8: 00f00e93 li t4,15
|
||||
bc: 00900193 li gp,9
|
||||
c0: 19df1863 bne t5,t4,250 <fail>
|
||||
|
||||
000000c8 <test_10>:
|
||||
c8: 00001097 auipc ra,0x1
|
||||
cc: f3808093 addi ra,ra,-200 # 1000 <begin_signature>
|
||||
d0: fe008093 addi ra,ra,-32
|
||||
d4: 02008283 lb t0,32(ra)
|
||||
d8: fff00e93 li t4,-1
|
||||
dc: 00a00193 li gp,10
|
||||
e0: 17d29a63 bne t0,t4,254 <fail>
|
||||
000000c4 <test_10>:
|
||||
c4: 00001097 auipc ra,0x1
|
||||
c8: f3c08093 addi ra,ra,-196 # 1000 <begin_signature>
|
||||
cc: fe008093 addi ra,ra,-32
|
||||
d0: 02008283 lb t0,32(ra)
|
||||
d4: fff00e93 li t4,-1
|
||||
d8: 00a00193 li gp,10
|
||||
dc: 17d29a63 bne t0,t4,250 <fail>
|
||||
|
||||
000000e4 <test_11>:
|
||||
e4: 00001097 auipc ra,0x1
|
||||
e8: f1c08093 addi ra,ra,-228 # 1000 <begin_signature>
|
||||
ec: ffa08093 addi ra,ra,-6
|
||||
f0: 00708283 lb t0,7(ra)
|
||||
f4: 00000e93 li t4,0
|
||||
f8: 00b00193 li gp,11
|
||||
fc: 15d29c63 bne t0,t4,254 <fail>
|
||||
000000e0 <test_11>:
|
||||
e0: 00001097 auipc ra,0x1
|
||||
e4: f2008093 addi ra,ra,-224 # 1000 <begin_signature>
|
||||
e8: ffa08093 addi ra,ra,-6
|
||||
ec: 00708283 lb t0,7(ra)
|
||||
f0: 00000e93 li t4,0
|
||||
f4: 00b00193 li gp,11
|
||||
f8: 15d29c63 bne t0,t4,250 <fail>
|
||||
|
||||
00000100 <test_12>:
|
||||
100: 00c00193 li gp,12
|
||||
104: 00000213 li tp,0
|
||||
108: 00001097 auipc ra,0x1
|
||||
10c: ef908093 addi ra,ra,-263 # 1001 <tdat2>
|
||||
110: 00108f03 lb t5,1(ra)
|
||||
114: 000f0313 mv t1,t5
|
||||
118: ff000e93 li t4,-16
|
||||
11c: 13d31c63 bne t1,t4,254 <fail>
|
||||
120: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
124: 00200293 li t0,2
|
||||
128: fe5210e3 bne tp,t0,108 <test_12+0x8>
|
||||
000000fc <test_12>:
|
||||
fc: 00c00193 li gp,12
|
||||
100: 00000213 li tp,0
|
||||
104: 00001097 auipc ra,0x1
|
||||
108: efd08093 addi ra,ra,-259 # 1001 <tdat2>
|
||||
10c: 00108f03 lb t5,1(ra)
|
||||
110: 000f0313 mv t1,t5
|
||||
114: ff000e93 li t4,-16
|
||||
118: 13d31c63 bne t1,t4,250 <fail>
|
||||
11c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
120: 00200293 li t0,2
|
||||
124: fe5210e3 bne tp,t0,104 <test_12+0x8>
|
||||
|
||||
0000012c <test_13>:
|
||||
12c: 00d00193 li gp,13
|
||||
130: 00000213 li tp,0
|
||||
134: 00001097 auipc ra,0x1
|
||||
138: ece08093 addi ra,ra,-306 # 1002 <tdat3>
|
||||
13c: 00108f03 lb t5,1(ra)
|
||||
140: 00000013 nop
|
||||
144: 000f0313 mv t1,t5
|
||||
148: 00f00e93 li t4,15
|
||||
14c: 11d31463 bne t1,t4,254 <fail>
|
||||
150: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
154: 00200293 li t0,2
|
||||
158: fc521ee3 bne tp,t0,134 <test_13+0x8>
|
||||
00000128 <test_13>:
|
||||
128: 00d00193 li gp,13
|
||||
12c: 00000213 li tp,0
|
||||
130: 00001097 auipc ra,0x1
|
||||
134: ed208093 addi ra,ra,-302 # 1002 <tdat3>
|
||||
138: 00108f03 lb t5,1(ra)
|
||||
13c: 00000013 nop
|
||||
140: 000f0313 mv t1,t5
|
||||
144: 00f00e93 li t4,15
|
||||
148: 11d31463 bne t1,t4,250 <fail>
|
||||
14c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
150: 00200293 li t0,2
|
||||
154: fc521ee3 bne tp,t0,130 <test_13+0x8>
|
||||
|
||||
0000015c <test_14>:
|
||||
15c: 00e00193 li gp,14
|
||||
160: 00000213 li tp,0
|
||||
164: 00001097 auipc ra,0x1
|
||||
168: e9c08093 addi ra,ra,-356 # 1000 <begin_signature>
|
||||
16c: 00108f03 lb t5,1(ra)
|
||||
00000158 <test_14>:
|
||||
158: 00e00193 li gp,14
|
||||
15c: 00000213 li tp,0
|
||||
160: 00001097 auipc ra,0x1
|
||||
164: ea008093 addi ra,ra,-352 # 1000 <begin_signature>
|
||||
168: 00108f03 lb t5,1(ra)
|
||||
16c: 00000013 nop
|
||||
170: 00000013 nop
|
||||
174: 00000013 nop
|
||||
178: 000f0313 mv t1,t5
|
||||
17c: 00000e93 li t4,0
|
||||
180: 0dd31a63 bne t1,t4,254 <fail>
|
||||
184: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
188: 00200293 li t0,2
|
||||
18c: fc521ce3 bne tp,t0,164 <test_14+0x8>
|
||||
174: 000f0313 mv t1,t5
|
||||
178: 00000e93 li t4,0
|
||||
17c: 0dd31a63 bne t1,t4,250 <fail>
|
||||
180: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
184: 00200293 li t0,2
|
||||
188: fc521ce3 bne tp,t0,160 <test_14+0x8>
|
||||
|
||||
00000190 <test_15>:
|
||||
190: 00f00193 li gp,15
|
||||
194: 00000213 li tp,0
|
||||
198: 00001097 auipc ra,0x1
|
||||
19c: e6908093 addi ra,ra,-407 # 1001 <tdat2>
|
||||
1a0: 00108f03 lb t5,1(ra)
|
||||
1a4: ff000e93 li t4,-16
|
||||
1a8: 0bdf1663 bne t5,t4,254 <fail>
|
||||
1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b0: 00200293 li t0,2
|
||||
1b4: fe5212e3 bne tp,t0,198 <test_15+0x8>
|
||||
0000018c <test_15>:
|
||||
18c: 00f00193 li gp,15
|
||||
190: 00000213 li tp,0
|
||||
194: 00001097 auipc ra,0x1
|
||||
198: e6d08093 addi ra,ra,-403 # 1001 <tdat2>
|
||||
19c: 00108f03 lb t5,1(ra)
|
||||
1a0: ff000e93 li t4,-16
|
||||
1a4: 0bdf1663 bne t5,t4,250 <fail>
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fe5212e3 bne tp,t0,194 <test_15+0x8>
|
||||
|
||||
000001b8 <test_16>:
|
||||
1b8: 01000193 li gp,16
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00001097 auipc ra,0x1
|
||||
1c4: e4208093 addi ra,ra,-446 # 1002 <tdat3>
|
||||
1c8: 00000013 nop
|
||||
1cc: 00108f03 lb t5,1(ra)
|
||||
1d0: 00f00e93 li t4,15
|
||||
1d4: 09df1063 bne t5,t4,254 <fail>
|
||||
1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1dc: 00200293 li t0,2
|
||||
1e0: fe5210e3 bne tp,t0,1c0 <test_16+0x8>
|
||||
000001b4 <test_16>:
|
||||
1b4: 01000193 li gp,16
|
||||
1b8: 00000213 li tp,0
|
||||
1bc: 00001097 auipc ra,0x1
|
||||
1c0: e4608093 addi ra,ra,-442 # 1002 <tdat3>
|
||||
1c4: 00000013 nop
|
||||
1c8: 00108f03 lb t5,1(ra)
|
||||
1cc: 00f00e93 li t4,15
|
||||
1d0: 09df1063 bne t5,t4,250 <fail>
|
||||
1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d8: 00200293 li t0,2
|
||||
1dc: fe5210e3 bne tp,t0,1bc <test_16+0x8>
|
||||
|
||||
000001e4 <test_17>:
|
||||
1e4: 01100193 li gp,17
|
||||
1e8: 00000213 li tp,0
|
||||
1ec: 00001097 auipc ra,0x1
|
||||
1f0: e1408093 addi ra,ra,-492 # 1000 <begin_signature>
|
||||
000001e0 <test_17>:
|
||||
1e0: 01100193 li gp,17
|
||||
1e4: 00000213 li tp,0
|
||||
1e8: 00001097 auipc ra,0x1
|
||||
1ec: e1808093 addi ra,ra,-488 # 1000 <begin_signature>
|
||||
1f0: 00000013 nop
|
||||
1f4: 00000013 nop
|
||||
1f8: 00000013 nop
|
||||
1fc: 00108f03 lb t5,1(ra)
|
||||
200: 00000e93 li t4,0
|
||||
204: 05df1863 bne t5,t4,254 <fail>
|
||||
208: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
20c: 00200293 li t0,2
|
||||
210: fc521ee3 bne tp,t0,1ec <test_17+0x8>
|
||||
1f8: 00108f03 lb t5,1(ra)
|
||||
1fc: 00000e93 li t4,0
|
||||
200: 05df1863 bne t5,t4,250 <fail>
|
||||
204: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
208: 00200293 li t0,2
|
||||
20c: fc521ee3 bne tp,t0,1e8 <test_17+0x8>
|
||||
|
||||
00000214 <test_18>:
|
||||
214: 00001297 auipc t0,0x1
|
||||
218: dec28293 addi t0,t0,-532 # 1000 <begin_signature>
|
||||
21c: 00028103 lb sp,0(t0)
|
||||
220: 00200113 li sp,2
|
||||
224: 00200e93 li t4,2
|
||||
228: 01200193 li gp,18
|
||||
22c: 03d11463 bne sp,t4,254 <fail>
|
||||
00000210 <test_18>:
|
||||
210: 00001297 auipc t0,0x1
|
||||
214: df028293 addi t0,t0,-528 # 1000 <begin_signature>
|
||||
218: 00028103 lb sp,0(t0)
|
||||
21c: 00200113 li sp,2
|
||||
220: 00200e93 li t4,2
|
||||
224: 01200193 li gp,18
|
||||
228: 03d11463 bne sp,t4,250 <fail>
|
||||
|
||||
00000230 <test_19>:
|
||||
230: 00001297 auipc t0,0x1
|
||||
234: dd028293 addi t0,t0,-560 # 1000 <begin_signature>
|
||||
238: 00028103 lb sp,0(t0)
|
||||
23c: 00000013 nop
|
||||
240: 00200113 li sp,2
|
||||
244: 00200e93 li t4,2
|
||||
248: 01300193 li gp,19
|
||||
24c: 01d11463 bne sp,t4,254 <fail>
|
||||
250: 00301863 bne zero,gp,260 <pass>
|
||||
0000022c <test_19>:
|
||||
22c: 00001297 auipc t0,0x1
|
||||
230: dd428293 addi t0,t0,-556 # 1000 <begin_signature>
|
||||
234: 00028103 lb sp,0(t0)
|
||||
238: 00000013 nop
|
||||
23c: 00200113 li sp,2
|
||||
240: 00200e93 li t4,2
|
||||
244: 01300193 li gp,19
|
||||
248: 01d11463 bne sp,t4,250 <fail>
|
||||
24c: 00301663 bne zero,gp,258 <pass>
|
||||
|
||||
00000254 <fail>:
|
||||
254: 00000d93 li s11,0
|
||||
258: 00100d13 li s10,1
|
||||
00000250 <fail>:
|
||||
250: 1000d073 csrwi sstatus,1
|
||||
|
||||
0000025c <loop_fail>:
|
||||
25c: 0000006f j 25c <loop_fail>
|
||||
00000254 <loop_fail>:
|
||||
254: 0000006f j 254 <loop_fail>
|
||||
|
||||
00000260 <pass>:
|
||||
260: 00100d93 li s11,1
|
||||
264: 00100d13 li s10,1
|
||||
00000258 <pass>:
|
||||
258: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000268 <loop_pass>:
|
||||
268: 0000006f j 268 <loop_pass>
|
||||
0000025c <loop_pass>:
|
||||
25c: 0000006f j 25c <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .data:
|
||||
|
@ -232,14 +229,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,62 +1,61 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00001097
|
||||
ff808093
|
||||
ffc08093
|
||||
00008f03
|
||||
fff00e93
|
||||
00200193
|
||||
23df1c63
|
||||
00001097
|
||||
fe008093
|
||||
fe408093
|
||||
00108f03
|
||||
00000e93
|
||||
00300193
|
||||
23df1063
|
||||
00001097
|
||||
fc808093
|
||||
fcc08093
|
||||
00208f03
|
||||
ff000e93
|
||||
00400193
|
||||
21df1463
|
||||
00001097
|
||||
fb008093
|
||||
fb408093
|
||||
00308f03
|
||||
00f00e93
|
||||
00500193
|
||||
1fdf1863
|
||||
00001097
|
||||
f9b08093
|
||||
f9f08093
|
||||
ffd08f03
|
||||
fff00e93
|
||||
00600193
|
||||
1ddf1c63
|
||||
00001097
|
||||
f8308093
|
||||
f8708093
|
||||
ffe08f03
|
||||
00000e93
|
||||
00700193
|
||||
1ddf1063
|
||||
00001097
|
||||
f6b08093
|
||||
f6f08093
|
||||
fff08f03
|
||||
ff000e93
|
||||
00800193
|
||||
1bdf1463
|
||||
00001097
|
||||
f5308093
|
||||
f5708093
|
||||
00008f03
|
||||
00f00e93
|
||||
00900193
|
||||
19df1863
|
||||
00001097
|
||||
f3808093
|
||||
f3c08093
|
||||
fe008093
|
||||
02008283
|
||||
fff00e93
|
||||
00a00193
|
||||
17d29a63
|
||||
00001097
|
||||
f1c08093
|
||||
f2008093
|
||||
ffa08093
|
||||
00708283
|
||||
00000e93
|
||||
|
@ -65,7 +64,7 @@ ffa08093
|
|||
00c00193
|
||||
00000213
|
||||
00001097
|
||||
ef908093
|
||||
efd08093
|
||||
00108f03
|
||||
000f0313
|
||||
ff000e93
|
||||
|
@ -76,7 +75,7 @@ fe5210e3
|
|||
00d00193
|
||||
00000213
|
||||
00001097
|
||||
ece08093
|
||||
ed208093
|
||||
00108f03
|
||||
00000013
|
||||
000f0313
|
||||
|
@ -88,7 +87,7 @@ fc521ee3
|
|||
00e00193
|
||||
00000213
|
||||
00001097
|
||||
e9c08093
|
||||
ea008093
|
||||
00108f03
|
||||
00000013
|
||||
00000013
|
||||
|
@ -101,7 +100,7 @@ fc521ce3
|
|||
00f00193
|
||||
00000213
|
||||
00001097
|
||||
e6908093
|
||||
e6d08093
|
||||
00108f03
|
||||
ff000e93
|
||||
0bdf1663
|
||||
|
@ -111,7 +110,7 @@ fe5212e3
|
|||
01000193
|
||||
00000213
|
||||
00001097
|
||||
e4208093
|
||||
e4608093
|
||||
00000013
|
||||
00108f03
|
||||
00f00e93
|
||||
|
@ -122,7 +121,7 @@ fe5210e3
|
|||
01100193
|
||||
00000213
|
||||
00001097
|
||||
e1408093
|
||||
e1808093
|
||||
00000013
|
||||
00000013
|
||||
00108f03
|
||||
|
@ -132,26 +131,24 @@ e1408093
|
|||
00200293
|
||||
fc521ee3
|
||||
00001297
|
||||
dec28293
|
||||
df028293
|
||||
00028103
|
||||
00200113
|
||||
00200e93
|
||||
01200193
|
||||
03d11463
|
||||
00001297
|
||||
dd028293
|
||||
dd428293
|
||||
00028103
|
||||
00000013
|
||||
00200113
|
||||
00200e93
|
||||
01300193
|
||||
01d11463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -1022,6 +1019,9 @@ dd028293
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
0ff000ff
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,43 +1,43 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF
|
||||
03 8F 00 00 93 0E F0 FF 93 01 20 00 63 1C DF 23
|
||||
97 10 00 00 93 80 00 FE 03 8F 10 00 93 0E 00 00
|
||||
93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC
|
||||
03 8F 20 00 93 0E 00 FF 93 01 40 00 63 14 DF 21
|
||||
97 10 00 00 93 80 00 FB 03 8F 30 00 93 0E F0 00
|
||||
93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9
|
||||
03 8F D0 FF 93 0E F0 FF 93 01 60 00 63 1C DF 1D
|
||||
97 10 00 00 93 80 30 F8 03 8F E0 FF 93 0E 00 00
|
||||
93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6
|
||||
03 8F F0 FF 93 0E 00 FF 93 01 80 00 63 14 DF 1B
|
||||
97 10 00 00 93 80 30 F5 03 8F 00 00 93 0E F0 00
|
||||
93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3
|
||||
93 80 00 FE 83 82 00 02 93 0E F0 FF 93 01 A0 00
|
||||
63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF
|
||||
83 82 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15
|
||||
93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF
|
||||
03 8F 10 00 13 03 0F 00 93 0E 00 FF 63 1C D3 13
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00
|
||||
13 02 00 00 97 10 00 00 93 80 E0 EC 03 8F 10 00
|
||||
13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00
|
||||
13 02 00 00 97 10 00 00 93 80 C0 E9 03 8F 10 00
|
||||
13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00
|
||||
63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC
|
||||
93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6
|
||||
03 8F 10 00 93 0E 00 FF 63 16 DF 0B 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00
|
||||
97 10 00 00 93 80 20 E4 13 00 00 00 03 8F 10 00
|
||||
93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00
|
||||
93 80 40 E1 13 00 00 00 13 00 00 00 03 8F 10 00
|
||||
93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 81 02 00
|
||||
13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03
|
||||
97 12 00 00 93 82 02 DD 03 81 02 00 13 00 00 00
|
||||
13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01
|
||||
63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00
|
||||
93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00
|
||||
73 50 00 10 97 10 00 00 93 80 C0 FF 03 8F 00 00
|
||||
93 0E F0 FF 93 01 20 00 63 1C DF 23 97 10 00 00
|
||||
93 80 40 FE 03 8F 10 00 93 0E 00 00 93 01 30 00
|
||||
63 10 DF 23 97 10 00 00 93 80 C0 FC 03 8F 20 00
|
||||
93 0E 00 FF 93 01 40 00 63 14 DF 21 97 10 00 00
|
||||
93 80 40 FB 03 8F 30 00 93 0E F0 00 93 01 50 00
|
||||
63 18 DF 1F 97 10 00 00 93 80 F0 F9 03 8F D0 FF
|
||||
93 0E F0 FF 93 01 60 00 63 1C DF 1D 97 10 00 00
|
||||
93 80 70 F8 03 8F E0 FF 93 0E 00 00 93 01 70 00
|
||||
63 10 DF 1D 97 10 00 00 93 80 F0 F6 03 8F F0 FF
|
||||
93 0E 00 FF 93 01 80 00 63 14 DF 1B 97 10 00 00
|
||||
93 80 70 F5 03 8F 00 00 93 0E F0 00 93 01 90 00
|
||||
63 18 DF 19 97 10 00 00 93 80 C0 F3 93 80 00 FE
|
||||
83 82 00 02 93 0E F0 FF 93 01 A0 00 63 9A D2 17
|
||||
97 10 00 00 93 80 00 F2 93 80 A0 FF 83 82 70 00
|
||||
93 0E 00 00 93 01 B0 00 63 9C D2 15 93 01 C0 00
|
||||
13 02 00 00 97 10 00 00 93 80 D0 EF 03 8F 10 00
|
||||
13 03 0F 00 93 0E 00 FF 63 1C D3 13 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 01 D0 00 13 02 00 00
|
||||
97 10 00 00 93 80 20 ED 03 8F 10 00 13 00 00 00
|
||||
13 03 0F 00 93 0E F0 00 63 14 D3 11 13 02 12 00
|
||||
93 02 20 00 E3 1E 52 FC 93 01 E0 00 13 02 00 00
|
||||
97 10 00 00 93 80 00 EA 03 8F 10 00 13 00 00 00
|
||||
13 00 00 00 13 03 0F 00 93 0E 00 00 63 1A D3 0D
|
||||
13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 F0 00
|
||||
13 02 00 00 97 10 00 00 93 80 D0 E6 03 8F 10 00
|
||||
93 0E 00 FF 63 16 DF 0B 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 00 01 13 02 00 00 97 10 00 00
|
||||
93 80 60 E4 13 00 00 00 03 8F 10 00 93 0E F0 00
|
||||
63 10 DF 09 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 E1
|
||||
13 00 00 00 13 00 00 00 03 8F 10 00 93 0E 00 00
|
||||
63 18 DF 05 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
97 12 00 00 93 82 02 DF 03 81 02 00 13 01 20 00
|
||||
93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00
|
||||
93 82 42 DD 03 81 02 00 13 00 00 00 13 01 20 00
|
||||
93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00
|
||||
73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00001000
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,205 +5,202 @@ generated/rv32ui-p-lbu: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00001097 auipc ra,0x1
|
||||
c: ff808093 addi ra,ra,-8 # 1000 <begin_signature>
|
||||
10: 0000cf03 lbu t5,0(ra)
|
||||
14: 0ff00e93 li t4,255
|
||||
18: 00200193 li gp,2
|
||||
1c: 23df1c63 bne t5,t4,254 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00001097 auipc ra,0x1
|
||||
8: ffc08093 addi ra,ra,-4 # 1000 <begin_signature>
|
||||
c: 0000cf03 lbu t5,0(ra)
|
||||
10: 0ff00e93 li t4,255
|
||||
14: 00200193 li gp,2
|
||||
18: 23df1c63 bne t5,t4,250 <fail>
|
||||
|
||||
00000020 <test_3>:
|
||||
20: 00001097 auipc ra,0x1
|
||||
24: fe008093 addi ra,ra,-32 # 1000 <begin_signature>
|
||||
28: 0010cf03 lbu t5,1(ra)
|
||||
2c: 00000e93 li t4,0
|
||||
30: 00300193 li gp,3
|
||||
34: 23df1063 bne t5,t4,254 <fail>
|
||||
0000001c <test_3>:
|
||||
1c: 00001097 auipc ra,0x1
|
||||
20: fe408093 addi ra,ra,-28 # 1000 <begin_signature>
|
||||
24: 0010cf03 lbu t5,1(ra)
|
||||
28: 00000e93 li t4,0
|
||||
2c: 00300193 li gp,3
|
||||
30: 23df1063 bne t5,t4,250 <fail>
|
||||
|
||||
00000038 <test_4>:
|
||||
38: 00001097 auipc ra,0x1
|
||||
3c: fc808093 addi ra,ra,-56 # 1000 <begin_signature>
|
||||
40: 0020cf03 lbu t5,2(ra)
|
||||
44: 0f000e93 li t4,240
|
||||
48: 00400193 li gp,4
|
||||
4c: 21df1463 bne t5,t4,254 <fail>
|
||||
00000034 <test_4>:
|
||||
34: 00001097 auipc ra,0x1
|
||||
38: fcc08093 addi ra,ra,-52 # 1000 <begin_signature>
|
||||
3c: 0020cf03 lbu t5,2(ra)
|
||||
40: 0f000e93 li t4,240
|
||||
44: 00400193 li gp,4
|
||||
48: 21df1463 bne t5,t4,250 <fail>
|
||||
|
||||
00000050 <test_5>:
|
||||
50: 00001097 auipc ra,0x1
|
||||
54: fb008093 addi ra,ra,-80 # 1000 <begin_signature>
|
||||
58: 0030cf03 lbu t5,3(ra)
|
||||
5c: 00f00e93 li t4,15
|
||||
60: 00500193 li gp,5
|
||||
64: 1fdf1863 bne t5,t4,254 <fail>
|
||||
0000004c <test_5>:
|
||||
4c: 00001097 auipc ra,0x1
|
||||
50: fb408093 addi ra,ra,-76 # 1000 <begin_signature>
|
||||
54: 0030cf03 lbu t5,3(ra)
|
||||
58: 00f00e93 li t4,15
|
||||
5c: 00500193 li gp,5
|
||||
60: 1fdf1863 bne t5,t4,250 <fail>
|
||||
|
||||
00000068 <test_6>:
|
||||
68: 00001097 auipc ra,0x1
|
||||
6c: f9b08093 addi ra,ra,-101 # 1003 <tdat4>
|
||||
70: ffd0cf03 lbu t5,-3(ra)
|
||||
74: 0ff00e93 li t4,255
|
||||
78: 00600193 li gp,6
|
||||
7c: 1ddf1c63 bne t5,t4,254 <fail>
|
||||
00000064 <test_6>:
|
||||
64: 00001097 auipc ra,0x1
|
||||
68: f9f08093 addi ra,ra,-97 # 1003 <tdat4>
|
||||
6c: ffd0cf03 lbu t5,-3(ra)
|
||||
70: 0ff00e93 li t4,255
|
||||
74: 00600193 li gp,6
|
||||
78: 1ddf1c63 bne t5,t4,250 <fail>
|
||||
|
||||
00000080 <test_7>:
|
||||
80: 00001097 auipc ra,0x1
|
||||
84: f8308093 addi ra,ra,-125 # 1003 <tdat4>
|
||||
88: ffe0cf03 lbu t5,-2(ra)
|
||||
8c: 00000e93 li t4,0
|
||||
90: 00700193 li gp,7
|
||||
94: 1ddf1063 bne t5,t4,254 <fail>
|
||||
0000007c <test_7>:
|
||||
7c: 00001097 auipc ra,0x1
|
||||
80: f8708093 addi ra,ra,-121 # 1003 <tdat4>
|
||||
84: ffe0cf03 lbu t5,-2(ra)
|
||||
88: 00000e93 li t4,0
|
||||
8c: 00700193 li gp,7
|
||||
90: 1ddf1063 bne t5,t4,250 <fail>
|
||||
|
||||
00000098 <test_8>:
|
||||
98: 00001097 auipc ra,0x1
|
||||
9c: f6b08093 addi ra,ra,-149 # 1003 <tdat4>
|
||||
a0: fff0cf03 lbu t5,-1(ra)
|
||||
a4: 0f000e93 li t4,240
|
||||
a8: 00800193 li gp,8
|
||||
ac: 1bdf1463 bne t5,t4,254 <fail>
|
||||
00000094 <test_8>:
|
||||
94: 00001097 auipc ra,0x1
|
||||
98: f6f08093 addi ra,ra,-145 # 1003 <tdat4>
|
||||
9c: fff0cf03 lbu t5,-1(ra)
|
||||
a0: 0f000e93 li t4,240
|
||||
a4: 00800193 li gp,8
|
||||
a8: 1bdf1463 bne t5,t4,250 <fail>
|
||||
|
||||
000000b0 <test_9>:
|
||||
b0: 00001097 auipc ra,0x1
|
||||
b4: f5308093 addi ra,ra,-173 # 1003 <tdat4>
|
||||
b8: 0000cf03 lbu t5,0(ra)
|
||||
bc: 00f00e93 li t4,15
|
||||
c0: 00900193 li gp,9
|
||||
c4: 19df1863 bne t5,t4,254 <fail>
|
||||
000000ac <test_9>:
|
||||
ac: 00001097 auipc ra,0x1
|
||||
b0: f5708093 addi ra,ra,-169 # 1003 <tdat4>
|
||||
b4: 0000cf03 lbu t5,0(ra)
|
||||
b8: 00f00e93 li t4,15
|
||||
bc: 00900193 li gp,9
|
||||
c0: 19df1863 bne t5,t4,250 <fail>
|
||||
|
||||
000000c8 <test_10>:
|
||||
c8: 00001097 auipc ra,0x1
|
||||
cc: f3808093 addi ra,ra,-200 # 1000 <begin_signature>
|
||||
d0: fe008093 addi ra,ra,-32
|
||||
d4: 0200c283 lbu t0,32(ra)
|
||||
d8: 0ff00e93 li t4,255
|
||||
dc: 00a00193 li gp,10
|
||||
e0: 17d29a63 bne t0,t4,254 <fail>
|
||||
000000c4 <test_10>:
|
||||
c4: 00001097 auipc ra,0x1
|
||||
c8: f3c08093 addi ra,ra,-196 # 1000 <begin_signature>
|
||||
cc: fe008093 addi ra,ra,-32
|
||||
d0: 0200c283 lbu t0,32(ra)
|
||||
d4: 0ff00e93 li t4,255
|
||||
d8: 00a00193 li gp,10
|
||||
dc: 17d29a63 bne t0,t4,250 <fail>
|
||||
|
||||
000000e4 <test_11>:
|
||||
e4: 00001097 auipc ra,0x1
|
||||
e8: f1c08093 addi ra,ra,-228 # 1000 <begin_signature>
|
||||
ec: ffa08093 addi ra,ra,-6
|
||||
f0: 0070c283 lbu t0,7(ra)
|
||||
f4: 00000e93 li t4,0
|
||||
f8: 00b00193 li gp,11
|
||||
fc: 15d29c63 bne t0,t4,254 <fail>
|
||||
000000e0 <test_11>:
|
||||
e0: 00001097 auipc ra,0x1
|
||||
e4: f2008093 addi ra,ra,-224 # 1000 <begin_signature>
|
||||
e8: ffa08093 addi ra,ra,-6
|
||||
ec: 0070c283 lbu t0,7(ra)
|
||||
f0: 00000e93 li t4,0
|
||||
f4: 00b00193 li gp,11
|
||||
f8: 15d29c63 bne t0,t4,250 <fail>
|
||||
|
||||
00000100 <test_12>:
|
||||
100: 00c00193 li gp,12
|
||||
104: 00000213 li tp,0
|
||||
108: 00001097 auipc ra,0x1
|
||||
10c: ef908093 addi ra,ra,-263 # 1001 <tdat2>
|
||||
110: 0010cf03 lbu t5,1(ra)
|
||||
114: 000f0313 mv t1,t5
|
||||
118: 0f000e93 li t4,240
|
||||
11c: 13d31c63 bne t1,t4,254 <fail>
|
||||
120: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
124: 00200293 li t0,2
|
||||
128: fe5210e3 bne tp,t0,108 <test_12+0x8>
|
||||
000000fc <test_12>:
|
||||
fc: 00c00193 li gp,12
|
||||
100: 00000213 li tp,0
|
||||
104: 00001097 auipc ra,0x1
|
||||
108: efd08093 addi ra,ra,-259 # 1001 <tdat2>
|
||||
10c: 0010cf03 lbu t5,1(ra)
|
||||
110: 000f0313 mv t1,t5
|
||||
114: 0f000e93 li t4,240
|
||||
118: 13d31c63 bne t1,t4,250 <fail>
|
||||
11c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
120: 00200293 li t0,2
|
||||
124: fe5210e3 bne tp,t0,104 <test_12+0x8>
|
||||
|
||||
0000012c <test_13>:
|
||||
12c: 00d00193 li gp,13
|
||||
130: 00000213 li tp,0
|
||||
134: 00001097 auipc ra,0x1
|
||||
138: ece08093 addi ra,ra,-306 # 1002 <tdat3>
|
||||
13c: 0010cf03 lbu t5,1(ra)
|
||||
140: 00000013 nop
|
||||
144: 000f0313 mv t1,t5
|
||||
148: 00f00e93 li t4,15
|
||||
14c: 11d31463 bne t1,t4,254 <fail>
|
||||
150: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
154: 00200293 li t0,2
|
||||
158: fc521ee3 bne tp,t0,134 <test_13+0x8>
|
||||
00000128 <test_13>:
|
||||
128: 00d00193 li gp,13
|
||||
12c: 00000213 li tp,0
|
||||
130: 00001097 auipc ra,0x1
|
||||
134: ed208093 addi ra,ra,-302 # 1002 <tdat3>
|
||||
138: 0010cf03 lbu t5,1(ra)
|
||||
13c: 00000013 nop
|
||||
140: 000f0313 mv t1,t5
|
||||
144: 00f00e93 li t4,15
|
||||
148: 11d31463 bne t1,t4,250 <fail>
|
||||
14c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
150: 00200293 li t0,2
|
||||
154: fc521ee3 bne tp,t0,130 <test_13+0x8>
|
||||
|
||||
0000015c <test_14>:
|
||||
15c: 00e00193 li gp,14
|
||||
160: 00000213 li tp,0
|
||||
164: 00001097 auipc ra,0x1
|
||||
168: e9c08093 addi ra,ra,-356 # 1000 <begin_signature>
|
||||
16c: 0010cf03 lbu t5,1(ra)
|
||||
00000158 <test_14>:
|
||||
158: 00e00193 li gp,14
|
||||
15c: 00000213 li tp,0
|
||||
160: 00001097 auipc ra,0x1
|
||||
164: ea008093 addi ra,ra,-352 # 1000 <begin_signature>
|
||||
168: 0010cf03 lbu t5,1(ra)
|
||||
16c: 00000013 nop
|
||||
170: 00000013 nop
|
||||
174: 00000013 nop
|
||||
178: 000f0313 mv t1,t5
|
||||
17c: 00000e93 li t4,0
|
||||
180: 0dd31a63 bne t1,t4,254 <fail>
|
||||
184: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
188: 00200293 li t0,2
|
||||
18c: fc521ce3 bne tp,t0,164 <test_14+0x8>
|
||||
174: 000f0313 mv t1,t5
|
||||
178: 00000e93 li t4,0
|
||||
17c: 0dd31a63 bne t1,t4,250 <fail>
|
||||
180: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
184: 00200293 li t0,2
|
||||
188: fc521ce3 bne tp,t0,160 <test_14+0x8>
|
||||
|
||||
00000190 <test_15>:
|
||||
190: 00f00193 li gp,15
|
||||
194: 00000213 li tp,0
|
||||
198: 00001097 auipc ra,0x1
|
||||
19c: e6908093 addi ra,ra,-407 # 1001 <tdat2>
|
||||
1a0: 0010cf03 lbu t5,1(ra)
|
||||
1a4: 0f000e93 li t4,240
|
||||
1a8: 0bdf1663 bne t5,t4,254 <fail>
|
||||
1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b0: 00200293 li t0,2
|
||||
1b4: fe5212e3 bne tp,t0,198 <test_15+0x8>
|
||||
0000018c <test_15>:
|
||||
18c: 00f00193 li gp,15
|
||||
190: 00000213 li tp,0
|
||||
194: 00001097 auipc ra,0x1
|
||||
198: e6d08093 addi ra,ra,-403 # 1001 <tdat2>
|
||||
19c: 0010cf03 lbu t5,1(ra)
|
||||
1a0: 0f000e93 li t4,240
|
||||
1a4: 0bdf1663 bne t5,t4,250 <fail>
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fe5212e3 bne tp,t0,194 <test_15+0x8>
|
||||
|
||||
000001b8 <test_16>:
|
||||
1b8: 01000193 li gp,16
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00001097 auipc ra,0x1
|
||||
1c4: e4208093 addi ra,ra,-446 # 1002 <tdat3>
|
||||
1c8: 00000013 nop
|
||||
1cc: 0010cf03 lbu t5,1(ra)
|
||||
1d0: 00f00e93 li t4,15
|
||||
1d4: 09df1063 bne t5,t4,254 <fail>
|
||||
1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1dc: 00200293 li t0,2
|
||||
1e0: fe5210e3 bne tp,t0,1c0 <test_16+0x8>
|
||||
000001b4 <test_16>:
|
||||
1b4: 01000193 li gp,16
|
||||
1b8: 00000213 li tp,0
|
||||
1bc: 00001097 auipc ra,0x1
|
||||
1c0: e4608093 addi ra,ra,-442 # 1002 <tdat3>
|
||||
1c4: 00000013 nop
|
||||
1c8: 0010cf03 lbu t5,1(ra)
|
||||
1cc: 00f00e93 li t4,15
|
||||
1d0: 09df1063 bne t5,t4,250 <fail>
|
||||
1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d8: 00200293 li t0,2
|
||||
1dc: fe5210e3 bne tp,t0,1bc <test_16+0x8>
|
||||
|
||||
000001e4 <test_17>:
|
||||
1e4: 01100193 li gp,17
|
||||
1e8: 00000213 li tp,0
|
||||
1ec: 00001097 auipc ra,0x1
|
||||
1f0: e1408093 addi ra,ra,-492 # 1000 <begin_signature>
|
||||
000001e0 <test_17>:
|
||||
1e0: 01100193 li gp,17
|
||||
1e4: 00000213 li tp,0
|
||||
1e8: 00001097 auipc ra,0x1
|
||||
1ec: e1808093 addi ra,ra,-488 # 1000 <begin_signature>
|
||||
1f0: 00000013 nop
|
||||
1f4: 00000013 nop
|
||||
1f8: 00000013 nop
|
||||
1fc: 0010cf03 lbu t5,1(ra)
|
||||
200: 00000e93 li t4,0
|
||||
204: 05df1863 bne t5,t4,254 <fail>
|
||||
208: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
20c: 00200293 li t0,2
|
||||
210: fc521ee3 bne tp,t0,1ec <test_17+0x8>
|
||||
1f8: 0010cf03 lbu t5,1(ra)
|
||||
1fc: 00000e93 li t4,0
|
||||
200: 05df1863 bne t5,t4,250 <fail>
|
||||
204: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
208: 00200293 li t0,2
|
||||
20c: fc521ee3 bne tp,t0,1e8 <test_17+0x8>
|
||||
|
||||
00000214 <test_18>:
|
||||
214: 00001297 auipc t0,0x1
|
||||
218: dec28293 addi t0,t0,-532 # 1000 <begin_signature>
|
||||
21c: 0002c103 lbu sp,0(t0)
|
||||
220: 00200113 li sp,2
|
||||
224: 00200e93 li t4,2
|
||||
228: 01200193 li gp,18
|
||||
22c: 03d11463 bne sp,t4,254 <fail>
|
||||
00000210 <test_18>:
|
||||
210: 00001297 auipc t0,0x1
|
||||
214: df028293 addi t0,t0,-528 # 1000 <begin_signature>
|
||||
218: 0002c103 lbu sp,0(t0)
|
||||
21c: 00200113 li sp,2
|
||||
220: 00200e93 li t4,2
|
||||
224: 01200193 li gp,18
|
||||
228: 03d11463 bne sp,t4,250 <fail>
|
||||
|
||||
00000230 <test_19>:
|
||||
230: 00001297 auipc t0,0x1
|
||||
234: dd028293 addi t0,t0,-560 # 1000 <begin_signature>
|
||||
238: 0002c103 lbu sp,0(t0)
|
||||
23c: 00000013 nop
|
||||
240: 00200113 li sp,2
|
||||
244: 00200e93 li t4,2
|
||||
248: 01300193 li gp,19
|
||||
24c: 01d11463 bne sp,t4,254 <fail>
|
||||
250: 00301863 bne zero,gp,260 <pass>
|
||||
0000022c <test_19>:
|
||||
22c: 00001297 auipc t0,0x1
|
||||
230: dd428293 addi t0,t0,-556 # 1000 <begin_signature>
|
||||
234: 0002c103 lbu sp,0(t0)
|
||||
238: 00000013 nop
|
||||
23c: 00200113 li sp,2
|
||||
240: 00200e93 li t4,2
|
||||
244: 01300193 li gp,19
|
||||
248: 01d11463 bne sp,t4,250 <fail>
|
||||
24c: 00301663 bne zero,gp,258 <pass>
|
||||
|
||||
00000254 <fail>:
|
||||
254: 00000d93 li s11,0
|
||||
258: 00100d13 li s10,1
|
||||
00000250 <fail>:
|
||||
250: 1000d073 csrwi sstatus,1
|
||||
|
||||
0000025c <loop_fail>:
|
||||
25c: 0000006f j 25c <loop_fail>
|
||||
00000254 <loop_fail>:
|
||||
254: 0000006f j 254 <loop_fail>
|
||||
|
||||
00000260 <pass>:
|
||||
260: 00100d93 li s11,1
|
||||
264: 00100d13 li s10,1
|
||||
00000258 <pass>:
|
||||
258: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000268 <loop_pass>:
|
||||
268: 0000006f j 268 <loop_pass>
|
||||
0000025c <loop_pass>:
|
||||
25c: 0000006f j 25c <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .data:
|
||||
|
@ -232,14 +229,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,62 +1,61 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00001097
|
||||
ff808093
|
||||
ffc08093
|
||||
0000cf03
|
||||
0ff00e93
|
||||
00200193
|
||||
23df1c63
|
||||
00001097
|
||||
fe008093
|
||||
fe408093
|
||||
0010cf03
|
||||
00000e93
|
||||
00300193
|
||||
23df1063
|
||||
00001097
|
||||
fc808093
|
||||
fcc08093
|
||||
0020cf03
|
||||
0f000e93
|
||||
00400193
|
||||
21df1463
|
||||
00001097
|
||||
fb008093
|
||||
fb408093
|
||||
0030cf03
|
||||
00f00e93
|
||||
00500193
|
||||
1fdf1863
|
||||
00001097
|
||||
f9b08093
|
||||
f9f08093
|
||||
ffd0cf03
|
||||
0ff00e93
|
||||
00600193
|
||||
1ddf1c63
|
||||
00001097
|
||||
f8308093
|
||||
f8708093
|
||||
ffe0cf03
|
||||
00000e93
|
||||
00700193
|
||||
1ddf1063
|
||||
00001097
|
||||
f6b08093
|
||||
f6f08093
|
||||
fff0cf03
|
||||
0f000e93
|
||||
00800193
|
||||
1bdf1463
|
||||
00001097
|
||||
f5308093
|
||||
f5708093
|
||||
0000cf03
|
||||
00f00e93
|
||||
00900193
|
||||
19df1863
|
||||
00001097
|
||||
f3808093
|
||||
f3c08093
|
||||
fe008093
|
||||
0200c283
|
||||
0ff00e93
|
||||
00a00193
|
||||
17d29a63
|
||||
00001097
|
||||
f1c08093
|
||||
f2008093
|
||||
ffa08093
|
||||
0070c283
|
||||
00000e93
|
||||
|
@ -65,7 +64,7 @@ ffa08093
|
|||
00c00193
|
||||
00000213
|
||||
00001097
|
||||
ef908093
|
||||
efd08093
|
||||
0010cf03
|
||||
000f0313
|
||||
0f000e93
|
||||
|
@ -76,7 +75,7 @@ fe5210e3
|
|||
00d00193
|
||||
00000213
|
||||
00001097
|
||||
ece08093
|
||||
ed208093
|
||||
0010cf03
|
||||
00000013
|
||||
000f0313
|
||||
|
@ -88,7 +87,7 @@ fc521ee3
|
|||
00e00193
|
||||
00000213
|
||||
00001097
|
||||
e9c08093
|
||||
ea008093
|
||||
0010cf03
|
||||
00000013
|
||||
00000013
|
||||
|
@ -101,7 +100,7 @@ fc521ce3
|
|||
00f00193
|
||||
00000213
|
||||
00001097
|
||||
e6908093
|
||||
e6d08093
|
||||
0010cf03
|
||||
0f000e93
|
||||
0bdf1663
|
||||
|
@ -111,7 +110,7 @@ fe5212e3
|
|||
01000193
|
||||
00000213
|
||||
00001097
|
||||
e4208093
|
||||
e4608093
|
||||
00000013
|
||||
0010cf03
|
||||
00f00e93
|
||||
|
@ -122,7 +121,7 @@ fe5210e3
|
|||
01100193
|
||||
00000213
|
||||
00001097
|
||||
e1408093
|
||||
e1808093
|
||||
00000013
|
||||
00000013
|
||||
0010cf03
|
||||
|
@ -132,26 +131,24 @@ e1408093
|
|||
00200293
|
||||
fc521ee3
|
||||
00001297
|
||||
dec28293
|
||||
df028293
|
||||
0002c103
|
||||
00200113
|
||||
00200e93
|
||||
01200193
|
||||
03d11463
|
||||
00001297
|
||||
dd028293
|
||||
dd428293
|
||||
0002c103
|
||||
00000013
|
||||
00200113
|
||||
00200e93
|
||||
01300193
|
||||
01d11463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -1022,6 +1019,9 @@ dd028293
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
0ff000ff
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,43 +1,43 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF
|
||||
03 CF 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 23
|
||||
97 10 00 00 93 80 00 FE 03 CF 10 00 93 0E 00 00
|
||||
93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC
|
||||
03 CF 20 00 93 0E 00 0F 93 01 40 00 63 14 DF 21
|
||||
97 10 00 00 93 80 00 FB 03 CF 30 00 93 0E F0 00
|
||||
93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9
|
||||
03 CF D0 FF 93 0E F0 0F 93 01 60 00 63 1C DF 1D
|
||||
97 10 00 00 93 80 30 F8 03 CF E0 FF 93 0E 00 00
|
||||
93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6
|
||||
03 CF F0 FF 93 0E 00 0F 93 01 80 00 63 14 DF 1B
|
||||
97 10 00 00 93 80 30 F5 03 CF 00 00 93 0E F0 00
|
||||
93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3
|
||||
93 80 00 FE 83 C2 00 02 93 0E F0 0F 93 01 A0 00
|
||||
63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF
|
||||
83 C2 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15
|
||||
93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF
|
||||
03 CF 10 00 13 03 0F 00 93 0E 00 0F 63 1C D3 13
|
||||
13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00
|
||||
13 02 00 00 97 10 00 00 93 80 E0 EC 03 CF 10 00
|
||||
13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00
|
||||
13 02 00 00 97 10 00 00 93 80 C0 E9 03 CF 10 00
|
||||
13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00
|
||||
63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC
|
||||
93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6
|
||||
03 CF 10 00 93 0E 00 0F 63 16 DF 0B 13 02 12 00
|
||||
93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00
|
||||
97 10 00 00 93 80 20 E4 13 00 00 00 03 CF 10 00
|
||||
93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00
|
||||
93 80 40 E1 13 00 00 00 13 00 00 00 03 CF 10 00
|
||||
93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 C1 02 00
|
||||
13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03
|
||||
97 12 00 00 93 82 02 DD 03 C1 02 00 13 00 00 00
|
||||
13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01
|
||||
63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00
|
||||
93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00
|
||||
73 50 00 10 97 10 00 00 93 80 C0 FF 03 CF 00 00
|
||||
93 0E F0 0F 93 01 20 00 63 1C DF 23 97 10 00 00
|
||||
93 80 40 FE 03 CF 10 00 93 0E 00 00 93 01 30 00
|
||||
63 10 DF 23 97 10 00 00 93 80 C0 FC 03 CF 20 00
|
||||
93 0E 00 0F 93 01 40 00 63 14 DF 21 97 10 00 00
|
||||
93 80 40 FB 03 CF 30 00 93 0E F0 00 93 01 50 00
|
||||
63 18 DF 1F 97 10 00 00 93 80 F0 F9 03 CF D0 FF
|
||||
93 0E F0 0F 93 01 60 00 63 1C DF 1D 97 10 00 00
|
||||
93 80 70 F8 03 CF E0 FF 93 0E 00 00 93 01 70 00
|
||||
63 10 DF 1D 97 10 00 00 93 80 F0 F6 03 CF F0 FF
|
||||
93 0E 00 0F 93 01 80 00 63 14 DF 1B 97 10 00 00
|
||||
93 80 70 F5 03 CF 00 00 93 0E F0 00 93 01 90 00
|
||||
63 18 DF 19 97 10 00 00 93 80 C0 F3 93 80 00 FE
|
||||
83 C2 00 02 93 0E F0 0F 93 01 A0 00 63 9A D2 17
|
||||
97 10 00 00 93 80 00 F2 93 80 A0 FF 83 C2 70 00
|
||||
93 0E 00 00 93 01 B0 00 63 9C D2 15 93 01 C0 00
|
||||
13 02 00 00 97 10 00 00 93 80 D0 EF 03 CF 10 00
|
||||
13 03 0F 00 93 0E 00 0F 63 1C D3 13 13 02 12 00
|
||||
93 02 20 00 E3 10 52 FE 93 01 D0 00 13 02 00 00
|
||||
97 10 00 00 93 80 20 ED 03 CF 10 00 13 00 00 00
|
||||
13 03 0F 00 93 0E F0 00 63 14 D3 11 13 02 12 00
|
||||
93 02 20 00 E3 1E 52 FC 93 01 E0 00 13 02 00 00
|
||||
97 10 00 00 93 80 00 EA 03 CF 10 00 13 00 00 00
|
||||
13 00 00 00 13 03 0F 00 93 0E 00 00 63 1A D3 0D
|
||||
13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 F0 00
|
||||
13 02 00 00 97 10 00 00 93 80 D0 E6 03 CF 10 00
|
||||
93 0E 00 0F 63 16 DF 0B 13 02 12 00 93 02 20 00
|
||||
E3 12 52 FE 93 01 00 01 13 02 00 00 97 10 00 00
|
||||
93 80 60 E4 13 00 00 00 03 CF 10 00 93 0E F0 00
|
||||
63 10 DF 09 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 E1
|
||||
13 00 00 00 13 00 00 00 03 CF 10 00 93 0E 00 00
|
||||
63 18 DF 05 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
97 12 00 00 93 82 02 DF 03 C1 02 00 13 01 20 00
|
||||
93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00
|
||||
93 82 42 DD 03 C1 02 00 13 00 00 00 13 01 20 00
|
||||
93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00
|
||||
73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@00001000
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,213 +5,211 @@ generated/rv32ui-p-lh: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00001097 auipc ra,0x1
|
||||
c: ff808093 addi ra,ra,-8 # 1000 <begin_signature>
|
||||
10: 00009f03 lh t5,0(ra)
|
||||
14: 0ff00e93 li t4,255
|
||||
18: 00200193 li gp,2
|
||||
1c: 25df1c63 bne t5,t4,274 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00001097 auipc ra,0x1
|
||||
8: ffc08093 addi ra,ra,-4 # 1000 <begin_signature>
|
||||
c: 00009f03 lh t5,0(ra)
|
||||
10: 0ff00e93 li t4,255
|
||||
14: 00200193 li gp,2
|
||||
18: 25df1c63 bne t5,t4,270 <fail>
|
||||
|
||||
00000020 <test_3>:
|
||||
20: 00001097 auipc ra,0x1
|
||||
24: fe008093 addi ra,ra,-32 # 1000 <begin_signature>
|
||||
28: 00209f03 lh t5,2(ra)
|
||||
2c: f0000e93 li t4,-256
|
||||
30: 00300193 li gp,3
|
||||
34: 25df1063 bne t5,t4,274 <fail>
|
||||
0000001c <test_3>:
|
||||
1c: 00001097 auipc ra,0x1
|
||||
20: fe408093 addi ra,ra,-28 # 1000 <begin_signature>
|
||||
24: 00209f03 lh t5,2(ra)
|
||||
28: f0000e93 li t4,-256
|
||||
2c: 00300193 li gp,3
|
||||
30: 25df1063 bne t5,t4,270 <fail>
|
||||
|
||||
00000038 <test_4>:
|
||||
38: 00001097 auipc ra,0x1
|
||||
3c: fc808093 addi ra,ra,-56 # 1000 <begin_signature>
|
||||
40: 00409f03 lh t5,4(ra)
|
||||
44: 00001eb7 lui t4,0x1
|
||||
48: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd68>
|
||||
4c: 00400193 li gp,4
|
||||
50: 23df1263 bne t5,t4,274 <fail>
|
||||
00000034 <test_4>:
|
||||
34: 00001097 auipc ra,0x1
|
||||
38: fcc08093 addi ra,ra,-52 # 1000 <begin_signature>
|
||||
3c: 00409f03 lh t5,4(ra)
|
||||
40: 00001eb7 lui t4,0x1
|
||||
44: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd74>
|
||||
48: 00400193 li gp,4
|
||||
4c: 23df1263 bne t5,t4,270 <fail>
|
||||
|
||||
00000054 <test_5>:
|
||||
54: 00001097 auipc ra,0x1
|
||||
58: fac08093 addi ra,ra,-84 # 1000 <begin_signature>
|
||||
5c: 00609f03 lh t5,6(ra)
|
||||
60: fffffeb7 lui t4,0xfffff
|
||||
64: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
68: 00500193 li gp,5
|
||||
6c: 21df1463 bne t5,t4,274 <fail>
|
||||
00000050 <test_5>:
|
||||
50: 00001097 auipc ra,0x1
|
||||
54: fb008093 addi ra,ra,-80 # 1000 <begin_signature>
|
||||
58: 00609f03 lh t5,6(ra)
|
||||
5c: fffffeb7 lui t4,0xfffff
|
||||
60: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
64: 00500193 li gp,5
|
||||
68: 21df1463 bne t5,t4,270 <fail>
|
||||
|
||||
00000070 <test_6>:
|
||||
70: 00001097 auipc ra,0x1
|
||||
74: f9608093 addi ra,ra,-106 # 1006 <tdat4>
|
||||
78: ffa09f03 lh t5,-6(ra)
|
||||
7c: 0ff00e93 li t4,255
|
||||
80: 00600193 li gp,6
|
||||
84: 1fdf1863 bne t5,t4,274 <fail>
|
||||
0000006c <test_6>:
|
||||
6c: 00001097 auipc ra,0x1
|
||||
70: f9a08093 addi ra,ra,-102 # 1006 <tdat4>
|
||||
74: ffa09f03 lh t5,-6(ra)
|
||||
78: 0ff00e93 li t4,255
|
||||
7c: 00600193 li gp,6
|
||||
80: 1fdf1863 bne t5,t4,270 <fail>
|
||||
|
||||
00000088 <test_7>:
|
||||
88: 00001097 auipc ra,0x1
|
||||
8c: f7e08093 addi ra,ra,-130 # 1006 <tdat4>
|
||||
90: ffc09f03 lh t5,-4(ra)
|
||||
94: f0000e93 li t4,-256
|
||||
98: 00700193 li gp,7
|
||||
9c: 1ddf1c63 bne t5,t4,274 <fail>
|
||||
00000084 <test_7>:
|
||||
84: 00001097 auipc ra,0x1
|
||||
88: f8208093 addi ra,ra,-126 # 1006 <tdat4>
|
||||
8c: ffc09f03 lh t5,-4(ra)
|
||||
90: f0000e93 li t4,-256
|
||||
94: 00700193 li gp,7
|
||||
98: 1ddf1c63 bne t5,t4,270 <fail>
|
||||
|
||||
000000a0 <test_8>:
|
||||
a0: 00001097 auipc ra,0x1
|
||||
a4: f6608093 addi ra,ra,-154 # 1006 <tdat4>
|
||||
a8: ffe09f03 lh t5,-2(ra)
|
||||
ac: 00001eb7 lui t4,0x1
|
||||
b0: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd68>
|
||||
b4: 00800193 li gp,8
|
||||
b8: 1bdf1e63 bne t5,t4,274 <fail>
|
||||
0000009c <test_8>:
|
||||
9c: 00001097 auipc ra,0x1
|
||||
a0: f6a08093 addi ra,ra,-150 # 1006 <tdat4>
|
||||
a4: ffe09f03 lh t5,-2(ra)
|
||||
a8: 00001eb7 lui t4,0x1
|
||||
ac: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd74>
|
||||
b0: 00800193 li gp,8
|
||||
b4: 1bdf1e63 bne t5,t4,270 <fail>
|
||||
|
||||
000000bc <test_9>:
|
||||
bc: 00001097 auipc ra,0x1
|
||||
c0: f4a08093 addi ra,ra,-182 # 1006 <tdat4>
|
||||
c4: 00009f03 lh t5,0(ra)
|
||||
c8: fffffeb7 lui t4,0xfffff
|
||||
cc: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
d0: 00900193 li gp,9
|
||||
d4: 1bdf1063 bne t5,t4,274 <fail>
|
||||
000000b8 <test_9>:
|
||||
b8: 00001097 auipc ra,0x1
|
||||
bc: f4e08093 addi ra,ra,-178 # 1006 <tdat4>
|
||||
c0: 00009f03 lh t5,0(ra)
|
||||
c4: fffffeb7 lui t4,0xfffff
|
||||
c8: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
cc: 00900193 li gp,9
|
||||
d0: 1bdf1063 bne t5,t4,270 <fail>
|
||||
|
||||
000000d8 <test_10>:
|
||||
d8: 00001097 auipc ra,0x1
|
||||
dc: f2808093 addi ra,ra,-216 # 1000 <begin_signature>
|
||||
e0: fe008093 addi ra,ra,-32
|
||||
e4: 02009283 lh t0,32(ra)
|
||||
e8: 0ff00e93 li t4,255
|
||||
ec: 00a00193 li gp,10
|
||||
f0: 19d29263 bne t0,t4,274 <fail>
|
||||
000000d4 <test_10>:
|
||||
d4: 00001097 auipc ra,0x1
|
||||
d8: f2c08093 addi ra,ra,-212 # 1000 <begin_signature>
|
||||
dc: fe008093 addi ra,ra,-32
|
||||
e0: 02009283 lh t0,32(ra)
|
||||
e4: 0ff00e93 li t4,255
|
||||
e8: 00a00193 li gp,10
|
||||
ec: 19d29263 bne t0,t4,270 <fail>
|
||||
|
||||
000000f4 <test_11>:
|
||||
f4: 00001097 auipc ra,0x1
|
||||
f8: f0c08093 addi ra,ra,-244 # 1000 <begin_signature>
|
||||
fc: ffb08093 addi ra,ra,-5
|
||||
100: 00709283 lh t0,7(ra)
|
||||
104: f0000e93 li t4,-256
|
||||
108: 00b00193 li gp,11
|
||||
10c: 17d29463 bne t0,t4,274 <fail>
|
||||
000000f0 <test_11>:
|
||||
f0: 00001097 auipc ra,0x1
|
||||
f4: f1008093 addi ra,ra,-240 # 1000 <begin_signature>
|
||||
f8: ffb08093 addi ra,ra,-5
|
||||
fc: 00709283 lh t0,7(ra)
|
||||
100: f0000e93 li t4,-256
|
||||
104: 00b00193 li gp,11
|
||||
108: 17d29463 bne t0,t4,270 <fail>
|
||||
|
||||
00000110 <test_12>:
|
||||
110: 00c00193 li gp,12
|
||||
114: 00000213 li tp,0
|
||||
118: 00001097 auipc ra,0x1
|
||||
11c: eea08093 addi ra,ra,-278 # 1002 <tdat2>
|
||||
120: 00209f03 lh t5,2(ra)
|
||||
124: 000f0313 mv t1,t5
|
||||
128: 00001eb7 lui t4,0x1
|
||||
12c: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd68>
|
||||
130: 15d31263 bne t1,t4,274 <fail>
|
||||
134: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
138: 00200293 li t0,2
|
||||
13c: fc521ee3 bne tp,t0,118 <test_12+0x8>
|
||||
0000010c <test_12>:
|
||||
10c: 00c00193 li gp,12
|
||||
110: 00000213 li tp,0
|
||||
114: 00001097 auipc ra,0x1
|
||||
118: eee08093 addi ra,ra,-274 # 1002 <tdat2>
|
||||
11c: 00209f03 lh t5,2(ra)
|
||||
120: 000f0313 mv t1,t5
|
||||
124: 00001eb7 lui t4,0x1
|
||||
128: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd74>
|
||||
12c: 15d31263 bne t1,t4,270 <fail>
|
||||
130: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
134: 00200293 li t0,2
|
||||
138: fc521ee3 bne tp,t0,114 <test_12+0x8>
|
||||
|
||||
00000140 <test_13>:
|
||||
140: 00d00193 li gp,13
|
||||
144: 00000213 li tp,0
|
||||
148: 00001097 auipc ra,0x1
|
||||
14c: ebc08093 addi ra,ra,-324 # 1004 <tdat3>
|
||||
150: 00209f03 lh t5,2(ra)
|
||||
154: 00000013 nop
|
||||
158: 000f0313 mv t1,t5
|
||||
15c: fffffeb7 lui t4,0xfffff
|
||||
160: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
164: 11d31863 bne t1,t4,274 <fail>
|
||||
168: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
16c: 00200293 li t0,2
|
||||
170: fc521ce3 bne tp,t0,148 <test_13+0x8>
|
||||
0000013c <test_13>:
|
||||
13c: 00d00193 li gp,13
|
||||
140: 00000213 li tp,0
|
||||
144: 00001097 auipc ra,0x1
|
||||
148: ec008093 addi ra,ra,-320 # 1004 <tdat3>
|
||||
14c: 00209f03 lh t5,2(ra)
|
||||
150: 00000013 nop
|
||||
154: 000f0313 mv t1,t5
|
||||
158: fffffeb7 lui t4,0xfffff
|
||||
15c: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
160: 11d31863 bne t1,t4,270 <fail>
|
||||
164: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
168: 00200293 li t0,2
|
||||
16c: fc521ce3 bne tp,t0,144 <test_13+0x8>
|
||||
|
||||
00000174 <test_14>:
|
||||
174: 00e00193 li gp,14
|
||||
178: 00000213 li tp,0
|
||||
17c: 00001097 auipc ra,0x1
|
||||
180: e8408093 addi ra,ra,-380 # 1000 <begin_signature>
|
||||
184: 00209f03 lh t5,2(ra)
|
||||
00000170 <test_14>:
|
||||
170: 00e00193 li gp,14
|
||||
174: 00000213 li tp,0
|
||||
178: 00001097 auipc ra,0x1
|
||||
17c: e8808093 addi ra,ra,-376 # 1000 <begin_signature>
|
||||
180: 00209f03 lh t5,2(ra)
|
||||
184: 00000013 nop
|
||||
188: 00000013 nop
|
||||
18c: 00000013 nop
|
||||
190: 000f0313 mv t1,t5
|
||||
194: f0000e93 li t4,-256
|
||||
198: 0dd31e63 bne t1,t4,274 <fail>
|
||||
19c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1a0: 00200293 li t0,2
|
||||
1a4: fc521ce3 bne tp,t0,17c <test_14+0x8>
|
||||
18c: 000f0313 mv t1,t5
|
||||
190: f0000e93 li t4,-256
|
||||
194: 0dd31e63 bne t1,t4,270 <fail>
|
||||
198: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
19c: 00200293 li t0,2
|
||||
1a0: fc521ce3 bne tp,t0,178 <test_14+0x8>
|
||||
|
||||
000001a8 <test_15>:
|
||||
1a8: 00f00193 li gp,15
|
||||
1ac: 00000213 li tp,0
|
||||
1b0: 00001097 auipc ra,0x1
|
||||
1b4: e5208093 addi ra,ra,-430 # 1002 <tdat2>
|
||||
1b8: 00209f03 lh t5,2(ra)
|
||||
1bc: 00001eb7 lui t4,0x1
|
||||
1c0: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd68>
|
||||
1c4: 0bdf1863 bne t5,t4,274 <fail>
|
||||
1c8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1cc: 00200293 li t0,2
|
||||
1d0: fe5210e3 bne tp,t0,1b0 <test_15+0x8>
|
||||
000001a4 <test_15>:
|
||||
1a4: 00f00193 li gp,15
|
||||
1a8: 00000213 li tp,0
|
||||
1ac: 00001097 auipc ra,0x1
|
||||
1b0: e5608093 addi ra,ra,-426 # 1002 <tdat2>
|
||||
1b4: 00209f03 lh t5,2(ra)
|
||||
1b8: 00001eb7 lui t4,0x1
|
||||
1bc: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd74>
|
||||
1c0: 0bdf1863 bne t5,t4,270 <fail>
|
||||
1c4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1c8: 00200293 li t0,2
|
||||
1cc: fe5210e3 bne tp,t0,1ac <test_15+0x8>
|
||||
|
||||
000001d4 <test_16>:
|
||||
1d4: 01000193 li gp,16
|
||||
1d8: 00000213 li tp,0
|
||||
1dc: 00001097 auipc ra,0x1
|
||||
1e0: e2808093 addi ra,ra,-472 # 1004 <tdat3>
|
||||
1e4: 00000013 nop
|
||||
1e8: 00209f03 lh t5,2(ra)
|
||||
1ec: fffffeb7 lui t4,0xfffff
|
||||
1f0: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
1f4: 09df1063 bne t5,t4,274 <fail>
|
||||
1f8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1fc: 00200293 li t0,2
|
||||
200: fc521ee3 bne tp,t0,1dc <test_16+0x8>
|
||||
000001d0 <test_16>:
|
||||
1d0: 01000193 li gp,16
|
||||
1d4: 00000213 li tp,0
|
||||
1d8: 00001097 auipc ra,0x1
|
||||
1dc: e2c08093 addi ra,ra,-468 # 1004 <tdat3>
|
||||
1e0: 00000013 nop
|
||||
1e4: 00209f03 lh t5,2(ra)
|
||||
1e8: fffffeb7 lui t4,0xfffff
|
||||
1ec: 00fe8e93 addi t4,t4,15 # fffff00f <_end+0xffffdf87>
|
||||
1f0: 09df1063 bne t5,t4,270 <fail>
|
||||
1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1f8: 00200293 li t0,2
|
||||
1fc: fc521ee3 bne tp,t0,1d8 <test_16+0x8>
|
||||
|
||||
00000204 <test_17>:
|
||||
204: 01100193 li gp,17
|
||||
208: 00000213 li tp,0
|
||||
20c: 00001097 auipc ra,0x1
|
||||
210: df408093 addi ra,ra,-524 # 1000 <begin_signature>
|
||||
00000200 <test_17>:
|
||||
200: 01100193 li gp,17
|
||||
204: 00000213 li tp,0
|
||||
208: 00001097 auipc ra,0x1
|
||||
20c: df808093 addi ra,ra,-520 # 1000 <begin_signature>
|
||||
210: 00000013 nop
|
||||
214: 00000013 nop
|
||||
218: 00000013 nop
|
||||
21c: 00209f03 lh t5,2(ra)
|
||||
220: f0000e93 li t4,-256
|
||||
224: 05df1863 bne t5,t4,274 <fail>
|
||||
228: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
22c: 00200293 li t0,2
|
||||
230: fc521ee3 bne tp,t0,20c <test_17+0x8>
|
||||
218: 00209f03 lh t5,2(ra)
|
||||
21c: f0000e93 li t4,-256
|
||||
220: 05df1863 bne t5,t4,270 <fail>
|
||||
224: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
228: 00200293 li t0,2
|
||||
22c: fc521ee3 bne tp,t0,208 <test_17+0x8>
|
||||
|
||||
00000234 <test_18>:
|
||||
234: 00001297 auipc t0,0x1
|
||||
238: dcc28293 addi t0,t0,-564 # 1000 <begin_signature>
|
||||
23c: 00029103 lh sp,0(t0)
|
||||
240: 00200113 li sp,2
|
||||
244: 00200e93 li t4,2
|
||||
248: 01200193 li gp,18
|
||||
24c: 03d11463 bne sp,t4,274 <fail>
|
||||
00000230 <test_18>:
|
||||
230: 00001297 auipc t0,0x1
|
||||
234: dd028293 addi t0,t0,-560 # 1000 <begin_signature>
|
||||
238: 00029103 lh sp,0(t0)
|
||||
23c: 00200113 li sp,2
|
||||
240: 00200e93 li t4,2
|
||||
244: 01200193 li gp,18
|
||||
248: 03d11463 bne sp,t4,270 <fail>
|
||||
|
||||
00000250 <test_19>:
|
||||
250: 00001297 auipc t0,0x1
|
||||
254: db028293 addi t0,t0,-592 # 1000 <begin_signature>
|
||||
258: 00029103 lh sp,0(t0)
|
||||
25c: 00000013 nop
|
||||
260: 00200113 li sp,2
|
||||
264: 00200e93 li t4,2
|
||||
268: 01300193 li gp,19
|
||||
26c: 01d11463 bne sp,t4,274 <fail>
|
||||
270: 00301863 bne zero,gp,280 <pass>
|
||||
0000024c <test_19>:
|
||||
24c: 00001297 auipc t0,0x1
|
||||
250: db428293 addi t0,t0,-588 # 1000 <begin_signature>
|
||||
254: 00029103 lh sp,0(t0)
|
||||
258: 00000013 nop
|
||||
25c: 00200113 li sp,2
|
||||
260: 00200e93 li t4,2
|
||||
264: 01300193 li gp,19
|
||||
268: 01d11463 bne sp,t4,270 <fail>
|
||||
26c: 00301663 bne zero,gp,278 <pass>
|
||||
|
||||
00000274 <fail>:
|
||||
274: 00000d93 li s11,0
|
||||
278: 00100d13 li s10,1
|
||||
00000270 <fail>:
|
||||
270: 1000d073 csrwi sstatus,1
|
||||
|
||||
0000027c <loop_fail>:
|
||||
27c: 0000006f j 27c <loop_fail>
|
||||
00000274 <loop_fail>:
|
||||
274: 0000006f j 274 <loop_fail>
|
||||
|
||||
00000280 <pass>:
|
||||
280: 00100d93 li s11,1
|
||||
284: 00100d13 li s10,1
|
||||
00000278 <pass>:
|
||||
278: 1001d073 csrwi sstatus,3
|
||||
|
||||
00000288 <loop_pass>:
|
||||
288: 0000006f j 288 <loop_pass>
|
||||
0000027c <loop_pass>:
|
||||
27c: 0000006f j 27c <loop_pass>
|
||||
280: 0000 unimp
|
||||
...
|
||||
|
||||
Disassembly of section .data:
|
||||
|
@ -242,14 +240,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,66 +1,65 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00001097
|
||||
ff808093
|
||||
ffc08093
|
||||
00009f03
|
||||
0ff00e93
|
||||
00200193
|
||||
25df1c63
|
||||
00001097
|
||||
fe008093
|
||||
fe408093
|
||||
00209f03
|
||||
f0000e93
|
||||
00300193
|
||||
25df1063
|
||||
00001097
|
||||
fc808093
|
||||
fcc08093
|
||||
00409f03
|
||||
00001eb7
|
||||
ff0e8e93
|
||||
00400193
|
||||
23df1263
|
||||
00001097
|
||||
fac08093
|
||||
fb008093
|
||||
00609f03
|
||||
fffffeb7
|
||||
00fe8e93
|
||||
00500193
|
||||
21df1463
|
||||
00001097
|
||||
f9608093
|
||||
f9a08093
|
||||
ffa09f03
|
||||
0ff00e93
|
||||
00600193
|
||||
1fdf1863
|
||||
00001097
|
||||
f7e08093
|
||||
f8208093
|
||||
ffc09f03
|
||||
f0000e93
|
||||
00700193
|
||||
1ddf1c63
|
||||
00001097
|
||||
f6608093
|
||||
f6a08093
|
||||
ffe09f03
|
||||
00001eb7
|
||||
ff0e8e93
|
||||
00800193
|
||||
1bdf1e63
|
||||
00001097
|
||||
f4a08093
|
||||
f4e08093
|
||||
00009f03
|
||||
fffffeb7
|
||||
00fe8e93
|
||||
00900193
|
||||
1bdf1063
|
||||
00001097
|
||||
f2808093
|
||||
f2c08093
|
||||
fe008093
|
||||
02009283
|
||||
0ff00e93
|
||||
00a00193
|
||||
19d29263
|
||||
00001097
|
||||
f0c08093
|
||||
f1008093
|
||||
ffb08093
|
||||
00709283
|
||||
f0000e93
|
||||
|
@ -69,7 +68,7 @@ f0000e93
|
|||
00c00193
|
||||
00000213
|
||||
00001097
|
||||
eea08093
|
||||
eee08093
|
||||
00209f03
|
||||
000f0313
|
||||
00001eb7
|
||||
|
@ -81,7 +80,7 @@ fc521ee3
|
|||
00d00193
|
||||
00000213
|
||||
00001097
|
||||
ebc08093
|
||||
ec008093
|
||||
00209f03
|
||||
00000013
|
||||
000f0313
|
||||
|
@ -94,7 +93,7 @@ fc521ce3
|
|||
00e00193
|
||||
00000213
|
||||
00001097
|
||||
e8408093
|
||||
e8808093
|
||||
00209f03
|
||||
00000013
|
||||
00000013
|
||||
|
@ -107,7 +106,7 @@ fc521ce3
|
|||
00f00193
|
||||
00000213
|
||||
00001097
|
||||
e5208093
|
||||
e5608093
|
||||
00209f03
|
||||
00001eb7
|
||||
ff0e8e93
|
||||
|
@ -118,7 +117,7 @@ fe5210e3
|
|||
01000193
|
||||
00000213
|
||||
00001097
|
||||
e2808093
|
||||
e2c08093
|
||||
00000013
|
||||
00209f03
|
||||
fffffeb7
|
||||
|
@ -130,7 +129,7 @@ fc521ee3
|
|||
01100193
|
||||
00000213
|
||||
00001097
|
||||
df408093
|
||||
df808093
|
||||
00000013
|
||||
00000013
|
||||
00209f03
|
||||
|
@ -140,26 +139,24 @@ f0000e93
|
|||
00200293
|
||||
fc521ee3
|
||||
00001297
|
||||
dcc28293
|
||||
dd028293
|
||||
00029103
|
||||
00200113
|
||||
00200e93
|
||||
01200193
|
||||
03d11463
|
||||
00001297
|
||||
db028293
|
||||
db428293
|
||||
00029103
|
||||
00000013
|
||||
00200113
|
||||
00200e93
|
||||
01300193
|
||||
01d11463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -1022,6 +1019,9 @@ db028293
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
ff0000ff
|
||||
f00f0ff0
|
||||
00000000
|
||||
|
|
|
@ -1,48 +1,44 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF
|
||||
03 9F 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 25
|
||||
97 10 00 00 93 80 00 FE 03 9F 20 00 93 0E 00 F0
|
||||
93 01 30 00 63 10 DF 25 97 10 00 00 93 80 80 FC
|
||||
03 9F 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00
|
||||
63 12 DF 23 97 10 00 00 93 80 C0 FA 03 9F 60 00
|
||||
B7 FE FF FF 93 8E FE 00 93 01 50 00 63 14 DF 21
|
||||
97 10 00 00 93 80 60 F9 03 9F A0 FF 93 0E F0 0F
|
||||
93 01 60 00 63 18 DF 1F 97 10 00 00 93 80 E0 F7
|
||||
03 9F C0 FF 93 0E 00 F0 93 01 70 00 63 1C DF 1D
|
||||
97 10 00 00 93 80 60 F6 03 9F E0 FF B7 1E 00 00
|
||||
93 8E 0E FF 93 01 80 00 63 1E DF 1B 97 10 00 00
|
||||
93 80 A0 F4 03 9F 00 00 B7 FE FF FF 93 8E FE 00
|
||||
93 01 90 00 63 10 DF 1B 97 10 00 00 93 80 80 F2
|
||||
93 80 00 FE 83 92 00 02 93 0E F0 0F 93 01 A0 00
|
||||
63 92 D2 19 97 10 00 00 93 80 C0 F0 93 80 B0 FF
|
||||
83 92 70 00 93 0E 00 F0 93 01 B0 00 63 94 D2 17
|
||||
93 01 C0 00 13 02 00 00 97 10 00 00 93 80 A0 EE
|
||||
03 9F 20 00 13 03 0F 00 B7 1E 00 00 93 8E 0E FF
|
||||
63 12 D3 15 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
93 01 D0 00 13 02 00 00 97 10 00 00 93 80 C0 EB
|
||||
03 9F 20 00 13 00 00 00 13 03 0F 00 B7 FE FF FF
|
||||
93 8E FE 00 63 18 D3 11 13 02 12 00 93 02 20 00
|
||||
E3 1C 52 FC 93 01 E0 00 13 02 00 00 97 10 00 00
|
||||
93 80 40 E8 03 9F 20 00 13 00 00 00 13 00 00 00
|
||||
13 03 0F 00 93 0E 00 F0 63 1E D3 0D 13 02 12 00
|
||||
93 02 20 00 E3 1C 52 FC 93 01 F0 00 13 02 00 00
|
||||
97 10 00 00 93 80 20 E5 03 9F 20 00 B7 1E 00 00
|
||||
93 8E 0E FF 63 18 DF 0B 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00
|
||||
93 80 80 E2 13 00 00 00 03 9F 20 00 B7 FE FF FF
|
||||
93 8E FE 00 63 10 DF 09 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00
|
||||
93 80 40 DF 13 00 00 00 13 00 00 00 03 9F 20 00
|
||||
93 0E 00 F0 63 18 DF 05 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC 97 12 00 00 93 82 C2 DC 03 91 02 00
|
||||
13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03
|
||||
97 12 00 00 93 82 02 DB 03 91 02 00 13 00 00 00
|
||||
13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01
|
||||
63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00
|
||||
93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
73 50 00 10 97 10 00 00 93 80 C0 FF 03 9F 00 00
|
||||
93 0E F0 0F 93 01 20 00 63 1C DF 25 97 10 00 00
|
||||
93 80 40 FE 03 9F 20 00 93 0E 00 F0 93 01 30 00
|
||||
63 10 DF 25 97 10 00 00 93 80 C0 FC 03 9F 40 00
|
||||
B7 1E 00 00 93 8E 0E FF 93 01 40 00 63 12 DF 23
|
||||
97 10 00 00 93 80 00 FB 03 9F 60 00 B7 FE FF FF
|
||||
93 8E FE 00 93 01 50 00 63 14 DF 21 97 10 00 00
|
||||
93 80 A0 F9 03 9F A0 FF 93 0E F0 0F 93 01 60 00
|
||||
63 18 DF 1F 97 10 00 00 93 80 20 F8 03 9F C0 FF
|
||||
93 0E 00 F0 93 01 70 00 63 1C DF 1D 97 10 00 00
|
||||
93 80 A0 F6 03 9F E0 FF B7 1E 00 00 93 8E 0E FF
|
||||
93 01 80 00 63 1E DF 1B 97 10 00 00 93 80 E0 F4
|
||||
03 9F 00 00 B7 FE FF FF 93 8E FE 00 93 01 90 00
|
||||
63 10 DF 1B 97 10 00 00 93 80 C0 F2 93 80 00 FE
|
||||
83 92 00 02 93 0E F0 0F 93 01 A0 00 63 92 D2 19
|
||||
97 10 00 00 93 80 00 F1 93 80 B0 FF 83 92 70 00
|
||||
93 0E 00 F0 93 01 B0 00 63 94 D2 17 93 01 C0 00
|
||||
13 02 00 00 97 10 00 00 93 80 E0 EE 03 9F 20 00
|
||||
13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 12 D3 15
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00
|
||||
13 02 00 00 97 10 00 00 93 80 00 EC 03 9F 20 00
|
||||
13 00 00 00 13 03 0F 00 B7 FE FF FF 93 8E FE 00
|
||||
63 18 D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC
|
||||
93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E8
|
||||
03 9F 20 00 13 00 00 00 13 00 00 00 13 03 0F 00
|
||||
93 0E 00 F0 63 1E D3 0D 13 02 12 00 93 02 20 00
|
||||
E3 1C 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00
|
||||
93 80 60 E5 03 9F 20 00 B7 1E 00 00 93 8E 0E FF
|
||||
63 18 DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 00 01 13 02 00 00 97 10 00 00 93 80 C0 E2
|
||||
13 00 00 00 03 9F 20 00 B7 FE FF FF 93 8E FE 00
|
||||
63 10 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DF
|
||||
13 00 00 00 13 00 00 00 03 9F 20 00 93 0E 00 F0
|
||||
63 18 DF 05 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
97 12 00 00 93 82 02 DD 03 91 02 00 13 01 20 00
|
||||
93 0E 20 00 93 01 20 01 63 14 D1 03 97 12 00 00
|
||||
93 82 42 DB 03 91 02 00 13 00 00 00 13 01 20 00
|
||||
93 0E 20 00 93 01 30 01 63 14 D1 01 63 16 30 00
|
||||
73 D0 00 10 6F 00 00 00 73 D0 01 10 6F 00 00 00
|
||||
00 00 00 00
|
||||
@00001000
|
||||
FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,218 +5,215 @@ generated/rv32ui-p-lhu: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 00001097 auipc ra,0x1
|
||||
c: ff808093 addi ra,ra,-8 # 1000 <begin_signature>
|
||||
10: 0000df03 lhu t5,0(ra)
|
||||
14: 0ff00e93 li t4,255
|
||||
18: 00200193 li gp,2
|
||||
1c: 27df1663 bne t5,t4,288 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 00001097 auipc ra,0x1
|
||||
8: ffc08093 addi ra,ra,-4 # 1000 <begin_signature>
|
||||
c: 0000df03 lhu t5,0(ra)
|
||||
10: 0ff00e93 li t4,255
|
||||
14: 00200193 li gp,2
|
||||
18: 27df1663 bne t5,t4,284 <fail>
|
||||
|
||||
00000020 <test_3>:
|
||||
20: 00001097 auipc ra,0x1
|
||||
24: fe008093 addi ra,ra,-32 # 1000 <begin_signature>
|
||||
28: 0020df03 lhu t5,2(ra)
|
||||
2c: 00010eb7 lui t4,0x10
|
||||
30: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
34: 00300193 li gp,3
|
||||
38: 25df1863 bne t5,t4,288 <fail>
|
||||
0000001c <test_3>:
|
||||
1c: 00001097 auipc ra,0x1
|
||||
20: fe408093 addi ra,ra,-28 # 1000 <begin_signature>
|
||||
24: 0020df03 lhu t5,2(ra)
|
||||
28: 00010eb7 lui t4,0x10
|
||||
2c: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
30: 00300193 li gp,3
|
||||
34: 25df1863 bne t5,t4,284 <fail>
|
||||
|
||||
0000003c <test_4>:
|
||||
3c: 00001097 auipc ra,0x1
|
||||
40: fc408093 addi ra,ra,-60 # 1000 <begin_signature>
|
||||
44: 0040df03 lhu t5,4(ra)
|
||||
48: 00001eb7 lui t4,0x1
|
||||
4c: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd54>
|
||||
50: 00400193 li gp,4
|
||||
54: 23df1a63 bne t5,t4,288 <fail>
|
||||
00000038 <test_4>:
|
||||
38: 00001097 auipc ra,0x1
|
||||
3c: fc808093 addi ra,ra,-56 # 1000 <begin_signature>
|
||||
40: 0040df03 lhu t5,4(ra)
|
||||
44: 00001eb7 lui t4,0x1
|
||||
48: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd60>
|
||||
4c: 00400193 li gp,4
|
||||
50: 23df1a63 bne t5,t4,284 <fail>
|
||||
|
||||
00000058 <test_5>:
|
||||
58: 00001097 auipc ra,0x1
|
||||
5c: fa808093 addi ra,ra,-88 # 1000 <begin_signature>
|
||||
60: 0060df03 lhu t5,6(ra)
|
||||
64: 0000feb7 lui t4,0xf
|
||||
68: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
6c: 00500193 li gp,5
|
||||
70: 21df1c63 bne t5,t4,288 <fail>
|
||||
00000054 <test_5>:
|
||||
54: 00001097 auipc ra,0x1
|
||||
58: fac08093 addi ra,ra,-84 # 1000 <begin_signature>
|
||||
5c: 0060df03 lhu t5,6(ra)
|
||||
60: 0000feb7 lui t4,0xf
|
||||
64: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
68: 00500193 li gp,5
|
||||
6c: 21df1c63 bne t5,t4,284 <fail>
|
||||
|
||||
00000074 <test_6>:
|
||||
74: 00001097 auipc ra,0x1
|
||||
78: f9208093 addi ra,ra,-110 # 1006 <tdat4>
|
||||
7c: ffa0df03 lhu t5,-6(ra)
|
||||
80: 0ff00e93 li t4,255
|
||||
84: 00600193 li gp,6
|
||||
88: 21df1063 bne t5,t4,288 <fail>
|
||||
00000070 <test_6>:
|
||||
70: 00001097 auipc ra,0x1
|
||||
74: f9608093 addi ra,ra,-106 # 1006 <tdat4>
|
||||
78: ffa0df03 lhu t5,-6(ra)
|
||||
7c: 0ff00e93 li t4,255
|
||||
80: 00600193 li gp,6
|
||||
84: 21df1063 bne t5,t4,284 <fail>
|
||||
|
||||
0000008c <test_7>:
|
||||
8c: 00001097 auipc ra,0x1
|
||||
90: f7a08093 addi ra,ra,-134 # 1006 <tdat4>
|
||||
94: ffc0df03 lhu t5,-4(ra)
|
||||
98: 00010eb7 lui t4,0x10
|
||||
9c: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
a0: 00700193 li gp,7
|
||||
a4: 1fdf1263 bne t5,t4,288 <fail>
|
||||
00000088 <test_7>:
|
||||
88: 00001097 auipc ra,0x1
|
||||
8c: f7e08093 addi ra,ra,-130 # 1006 <tdat4>
|
||||
90: ffc0df03 lhu t5,-4(ra)
|
||||
94: 00010eb7 lui t4,0x10
|
||||
98: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
9c: 00700193 li gp,7
|
||||
a0: 1fdf1263 bne t5,t4,284 <fail>
|
||||
|
||||
000000a8 <test_8>:
|
||||
a8: 00001097 auipc ra,0x1
|
||||
ac: f5e08093 addi ra,ra,-162 # 1006 <tdat4>
|
||||
b0: ffe0df03 lhu t5,-2(ra)
|
||||
b4: 00001eb7 lui t4,0x1
|
||||
b8: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd54>
|
||||
bc: 00800193 li gp,8
|
||||
c0: 1ddf1463 bne t5,t4,288 <fail>
|
||||
000000a4 <test_8>:
|
||||
a4: 00001097 auipc ra,0x1
|
||||
a8: f6208093 addi ra,ra,-158 # 1006 <tdat4>
|
||||
ac: ffe0df03 lhu t5,-2(ra)
|
||||
b0: 00001eb7 lui t4,0x1
|
||||
b4: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd60>
|
||||
b8: 00800193 li gp,8
|
||||
bc: 1ddf1463 bne t5,t4,284 <fail>
|
||||
|
||||
000000c4 <test_9>:
|
||||
c4: 00001097 auipc ra,0x1
|
||||
c8: f4208093 addi ra,ra,-190 # 1006 <tdat4>
|
||||
cc: 0000df03 lhu t5,0(ra)
|
||||
d0: 0000feb7 lui t4,0xf
|
||||
d4: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
d8: 00900193 li gp,9
|
||||
dc: 1bdf1663 bne t5,t4,288 <fail>
|
||||
000000c0 <test_9>:
|
||||
c0: 00001097 auipc ra,0x1
|
||||
c4: f4608093 addi ra,ra,-186 # 1006 <tdat4>
|
||||
c8: 0000df03 lhu t5,0(ra)
|
||||
cc: 0000feb7 lui t4,0xf
|
||||
d0: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
d4: 00900193 li gp,9
|
||||
d8: 1bdf1663 bne t5,t4,284 <fail>
|
||||
|
||||
000000e0 <test_10>:
|
||||
e0: 00001097 auipc ra,0x1
|
||||
e4: f2008093 addi ra,ra,-224 # 1000 <begin_signature>
|
||||
e8: fe008093 addi ra,ra,-32
|
||||
ec: 0200d283 lhu t0,32(ra)
|
||||
f0: 0ff00e93 li t4,255
|
||||
f4: 00a00193 li gp,10
|
||||
f8: 19d29863 bne t0,t4,288 <fail>
|
||||
000000dc <test_10>:
|
||||
dc: 00001097 auipc ra,0x1
|
||||
e0: f2408093 addi ra,ra,-220 # 1000 <begin_signature>
|
||||
e4: fe008093 addi ra,ra,-32
|
||||
e8: 0200d283 lhu t0,32(ra)
|
||||
ec: 0ff00e93 li t4,255
|
||||
f0: 00a00193 li gp,10
|
||||
f4: 19d29863 bne t0,t4,284 <fail>
|
||||
|
||||
000000fc <test_11>:
|
||||
fc: 00001097 auipc ra,0x1
|
||||
100: f0408093 addi ra,ra,-252 # 1000 <begin_signature>
|
||||
104: ffb08093 addi ra,ra,-5
|
||||
108: 0070d283 lhu t0,7(ra)
|
||||
10c: 00010eb7 lui t4,0x10
|
||||
110: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
114: 00b00193 li gp,11
|
||||
118: 17d29863 bne t0,t4,288 <fail>
|
||||
000000f8 <test_11>:
|
||||
f8: 00001097 auipc ra,0x1
|
||||
fc: f0808093 addi ra,ra,-248 # 1000 <begin_signature>
|
||||
100: ffb08093 addi ra,ra,-5
|
||||
104: 0070d283 lhu t0,7(ra)
|
||||
108: 00010eb7 lui t4,0x10
|
||||
10c: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
110: 00b00193 li gp,11
|
||||
114: 17d29863 bne t0,t4,284 <fail>
|
||||
|
||||
0000011c <test_12>:
|
||||
11c: 00c00193 li gp,12
|
||||
120: 00000213 li tp,0
|
||||
124: 00001097 auipc ra,0x1
|
||||
128: ede08093 addi ra,ra,-290 # 1002 <tdat2>
|
||||
12c: 0020df03 lhu t5,2(ra)
|
||||
130: 000f0313 mv t1,t5
|
||||
134: 00001eb7 lui t4,0x1
|
||||
138: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd54>
|
||||
13c: 15d31663 bne t1,t4,288 <fail>
|
||||
140: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
144: 00200293 li t0,2
|
||||
148: fc521ee3 bne tp,t0,124 <test_12+0x8>
|
||||
00000118 <test_12>:
|
||||
118: 00c00193 li gp,12
|
||||
11c: 00000213 li tp,0
|
||||
120: 00001097 auipc ra,0x1
|
||||
124: ee208093 addi ra,ra,-286 # 1002 <tdat2>
|
||||
128: 0020df03 lhu t5,2(ra)
|
||||
12c: 000f0313 mv t1,t5
|
||||
130: 00001eb7 lui t4,0x1
|
||||
134: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd60>
|
||||
138: 15d31663 bne t1,t4,284 <fail>
|
||||
13c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
140: 00200293 li t0,2
|
||||
144: fc521ee3 bne tp,t0,120 <test_12+0x8>
|
||||
|
||||
0000014c <test_13>:
|
||||
14c: 00d00193 li gp,13
|
||||
150: 00000213 li tp,0
|
||||
154: 00001097 auipc ra,0x1
|
||||
158: eb008093 addi ra,ra,-336 # 1004 <tdat3>
|
||||
15c: 0020df03 lhu t5,2(ra)
|
||||
160: 00000013 nop
|
||||
164: 000f0313 mv t1,t5
|
||||
168: 0000feb7 lui t4,0xf
|
||||
16c: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
170: 11d31c63 bne t1,t4,288 <fail>
|
||||
174: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
178: 00200293 li t0,2
|
||||
17c: fc521ce3 bne tp,t0,154 <test_13+0x8>
|
||||
00000148 <test_13>:
|
||||
148: 00d00193 li gp,13
|
||||
14c: 00000213 li tp,0
|
||||
150: 00001097 auipc ra,0x1
|
||||
154: eb408093 addi ra,ra,-332 # 1004 <tdat3>
|
||||
158: 0020df03 lhu t5,2(ra)
|
||||
15c: 00000013 nop
|
||||
160: 000f0313 mv t1,t5
|
||||
164: 0000feb7 lui t4,0xf
|
||||
168: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
16c: 11d31c63 bne t1,t4,284 <fail>
|
||||
170: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
174: 00200293 li t0,2
|
||||
178: fc521ce3 bne tp,t0,150 <test_13+0x8>
|
||||
|
||||
00000180 <test_14>:
|
||||
180: 00e00193 li gp,14
|
||||
184: 00000213 li tp,0
|
||||
188: 00001097 auipc ra,0x1
|
||||
18c: e7808093 addi ra,ra,-392 # 1000 <begin_signature>
|
||||
190: 0020df03 lhu t5,2(ra)
|
||||
0000017c <test_14>:
|
||||
17c: 00e00193 li gp,14
|
||||
180: 00000213 li tp,0
|
||||
184: 00001097 auipc ra,0x1
|
||||
188: e7c08093 addi ra,ra,-388 # 1000 <begin_signature>
|
||||
18c: 0020df03 lhu t5,2(ra)
|
||||
190: 00000013 nop
|
||||
194: 00000013 nop
|
||||
198: 00000013 nop
|
||||
19c: 000f0313 mv t1,t5
|
||||
1a0: 00010eb7 lui t4,0x10
|
||||
1a4: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
1a8: 0fd31063 bne t1,t4,288 <fail>
|
||||
1ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1b0: 00200293 li t0,2
|
||||
1b4: fc521ae3 bne tp,t0,188 <test_14+0x8>
|
||||
198: 000f0313 mv t1,t5
|
||||
19c: 00010eb7 lui t4,0x10
|
||||
1a0: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
1a4: 0fd31063 bne t1,t4,284 <fail>
|
||||
1a8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1ac: 00200293 li t0,2
|
||||
1b0: fc521ae3 bne tp,t0,184 <test_14+0x8>
|
||||
|
||||
000001b8 <test_15>:
|
||||
1b8: 00f00193 li gp,15
|
||||
1bc: 00000213 li tp,0
|
||||
1c0: 00001097 auipc ra,0x1
|
||||
1c4: e4208093 addi ra,ra,-446 # 1002 <tdat2>
|
||||
1c8: 0020df03 lhu t5,2(ra)
|
||||
1cc: 00001eb7 lui t4,0x1
|
||||
1d0: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd54>
|
||||
1d4: 0bdf1a63 bne t5,t4,288 <fail>
|
||||
1d8: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1dc: 00200293 li t0,2
|
||||
1e0: fe5210e3 bne tp,t0,1c0 <test_15+0x8>
|
||||
000001b4 <test_15>:
|
||||
1b4: 00f00193 li gp,15
|
||||
1b8: 00000213 li tp,0
|
||||
1bc: 00001097 auipc ra,0x1
|
||||
1c0: e4608093 addi ra,ra,-442 # 1002 <tdat2>
|
||||
1c4: 0020df03 lhu t5,2(ra)
|
||||
1c8: 00001eb7 lui t4,0x1
|
||||
1cc: ff0e8e93 addi t4,t4,-16 # ff0 <loop_pass+0xd60>
|
||||
1d0: 0bdf1a63 bne t5,t4,284 <fail>
|
||||
1d4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
1d8: 00200293 li t0,2
|
||||
1dc: fe5210e3 bne tp,t0,1bc <test_15+0x8>
|
||||
|
||||
000001e4 <test_16>:
|
||||
1e4: 01000193 li gp,16
|
||||
1e8: 00000213 li tp,0
|
||||
1ec: 00001097 auipc ra,0x1
|
||||
1f0: e1808093 addi ra,ra,-488 # 1004 <tdat3>
|
||||
1f4: 00000013 nop
|
||||
1f8: 0020df03 lhu t5,2(ra)
|
||||
1fc: 0000feb7 lui t4,0xf
|
||||
200: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
204: 09df1263 bne t5,t4,288 <fail>
|
||||
208: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
20c: 00200293 li t0,2
|
||||
210: fc521ee3 bne tp,t0,1ec <test_16+0x8>
|
||||
000001e0 <test_16>:
|
||||
1e0: 01000193 li gp,16
|
||||
1e4: 00000213 li tp,0
|
||||
1e8: 00001097 auipc ra,0x1
|
||||
1ec: e1c08093 addi ra,ra,-484 # 1004 <tdat3>
|
||||
1f0: 00000013 nop
|
||||
1f4: 0020df03 lhu t5,2(ra)
|
||||
1f8: 0000feb7 lui t4,0xf
|
||||
1fc: 00fe8e93 addi t4,t4,15 # f00f <_end+0xdf87>
|
||||
200: 09df1263 bne t5,t4,284 <fail>
|
||||
204: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
208: 00200293 li t0,2
|
||||
20c: fc521ee3 bne tp,t0,1e8 <test_16+0x8>
|
||||
|
||||
00000214 <test_17>:
|
||||
214: 01100193 li gp,17
|
||||
218: 00000213 li tp,0
|
||||
21c: 00001097 auipc ra,0x1
|
||||
220: de408093 addi ra,ra,-540 # 1000 <begin_signature>
|
||||
00000210 <test_17>:
|
||||
210: 01100193 li gp,17
|
||||
214: 00000213 li tp,0
|
||||
218: 00001097 auipc ra,0x1
|
||||
21c: de808093 addi ra,ra,-536 # 1000 <begin_signature>
|
||||
220: 00000013 nop
|
||||
224: 00000013 nop
|
||||
228: 00000013 nop
|
||||
22c: 0020df03 lhu t5,2(ra)
|
||||
230: 00010eb7 lui t4,0x10
|
||||
234: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
238: 05df1863 bne t5,t4,288 <fail>
|
||||
23c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
240: 00200293 li t0,2
|
||||
244: fc521ce3 bne tp,t0,21c <test_17+0x8>
|
||||
228: 0020df03 lhu t5,2(ra)
|
||||
22c: 00010eb7 lui t4,0x10
|
||||
230: f00e8e93 addi t4,t4,-256 # ff00 <_end+0xee78>
|
||||
234: 05df1863 bne t5,t4,284 <fail>
|
||||
238: 00120213 addi tp,tp,1 # 1 <_start+0x1>
|
||||
23c: 00200293 li t0,2
|
||||
240: fc521ce3 bne tp,t0,218 <test_17+0x8>
|
||||
|
||||
00000248 <test_18>:
|
||||
248: 00001297 auipc t0,0x1
|
||||
24c: db828293 addi t0,t0,-584 # 1000 <begin_signature>
|
||||
250: 0002d103 lhu sp,0(t0)
|
||||
254: 00200113 li sp,2
|
||||
258: 00200e93 li t4,2
|
||||
25c: 01200193 li gp,18
|
||||
260: 03d11463 bne sp,t4,288 <fail>
|
||||
00000244 <test_18>:
|
||||
244: 00001297 auipc t0,0x1
|
||||
248: dbc28293 addi t0,t0,-580 # 1000 <begin_signature>
|
||||
24c: 0002d103 lhu sp,0(t0)
|
||||
250: 00200113 li sp,2
|
||||
254: 00200e93 li t4,2
|
||||
258: 01200193 li gp,18
|
||||
25c: 03d11463 bne sp,t4,284 <fail>
|
||||
|
||||
00000264 <test_19>:
|
||||
264: 00001297 auipc t0,0x1
|
||||
268: d9c28293 addi t0,t0,-612 # 1000 <begin_signature>
|
||||
26c: 0002d103 lhu sp,0(t0)
|
||||
270: 00000013 nop
|
||||
274: 00200113 li sp,2
|
||||
278: 00200e93 li t4,2
|
||||
27c: 01300193 li gp,19
|
||||
280: 01d11463 bne sp,t4,288 <fail>
|
||||
284: 00301863 bne zero,gp,294 <pass>
|
||||
00000260 <test_19>:
|
||||
260: 00001297 auipc t0,0x1
|
||||
264: da028293 addi t0,t0,-608 # 1000 <begin_signature>
|
||||
268: 0002d103 lhu sp,0(t0)
|
||||
26c: 00000013 nop
|
||||
270: 00200113 li sp,2
|
||||
274: 00200e93 li t4,2
|
||||
278: 01300193 li gp,19
|
||||
27c: 01d11463 bne sp,t4,284 <fail>
|
||||
280: 00301663 bne zero,gp,28c <pass>
|
||||
|
||||
00000288 <fail>:
|
||||
288: 00000d93 li s11,0
|
||||
28c: 00100d13 li s10,1
|
||||
00000284 <fail>:
|
||||
284: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000290 <loop_fail>:
|
||||
290: 0000006f j 290 <loop_fail>
|
||||
00000288 <loop_fail>:
|
||||
288: 0000006f j 288 <loop_fail>
|
||||
|
||||
00000294 <pass>:
|
||||
294: 00100d93 li s11,1
|
||||
298: 00100d13 li s10,1
|
||||
0000028c <pass>:
|
||||
28c: 1001d073 csrwi sstatus,3
|
||||
|
||||
0000029c <loop_pass>:
|
||||
29c: 0000006f j 29c <loop_pass>
|
||||
00000290 <loop_pass>:
|
||||
290: 0000006f j 290 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .data:
|
||||
|
@ -247,14 +244,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,68 +1,67 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
00001097
|
||||
ff808093
|
||||
ffc08093
|
||||
0000df03
|
||||
0ff00e93
|
||||
00200193
|
||||
27df1663
|
||||
00001097
|
||||
fe008093
|
||||
fe408093
|
||||
0020df03
|
||||
00010eb7
|
||||
f00e8e93
|
||||
00300193
|
||||
25df1863
|
||||
00001097
|
||||
fc408093
|
||||
fc808093
|
||||
0040df03
|
||||
00001eb7
|
||||
ff0e8e93
|
||||
00400193
|
||||
23df1a63
|
||||
00001097
|
||||
fa808093
|
||||
fac08093
|
||||
0060df03
|
||||
0000feb7
|
||||
00fe8e93
|
||||
00500193
|
||||
21df1c63
|
||||
00001097
|
||||
f9208093
|
||||
f9608093
|
||||
ffa0df03
|
||||
0ff00e93
|
||||
00600193
|
||||
21df1063
|
||||
00001097
|
||||
f7a08093
|
||||
f7e08093
|
||||
ffc0df03
|
||||
00010eb7
|
||||
f00e8e93
|
||||
00700193
|
||||
1fdf1263
|
||||
00001097
|
||||
f5e08093
|
||||
f6208093
|
||||
ffe0df03
|
||||
00001eb7
|
||||
ff0e8e93
|
||||
00800193
|
||||
1ddf1463
|
||||
00001097
|
||||
f4208093
|
||||
f4608093
|
||||
0000df03
|
||||
0000feb7
|
||||
00fe8e93
|
||||
00900193
|
||||
1bdf1663
|
||||
00001097
|
||||
f2008093
|
||||
f2408093
|
||||
fe008093
|
||||
0200d283
|
||||
0ff00e93
|
||||
00a00193
|
||||
19d29863
|
||||
00001097
|
||||
f0408093
|
||||
f0808093
|
||||
ffb08093
|
||||
0070d283
|
||||
00010eb7
|
||||
|
@ -72,7 +71,7 @@ f00e8e93
|
|||
00c00193
|
||||
00000213
|
||||
00001097
|
||||
ede08093
|
||||
ee208093
|
||||
0020df03
|
||||
000f0313
|
||||
00001eb7
|
||||
|
@ -84,7 +83,7 @@ fc521ee3
|
|||
00d00193
|
||||
00000213
|
||||
00001097
|
||||
eb008093
|
||||
eb408093
|
||||
0020df03
|
||||
00000013
|
||||
000f0313
|
||||
|
@ -97,7 +96,7 @@ fc521ce3
|
|||
00e00193
|
||||
00000213
|
||||
00001097
|
||||
e7808093
|
||||
e7c08093
|
||||
0020df03
|
||||
00000013
|
||||
00000013
|
||||
|
@ -111,7 +110,7 @@ fc521ae3
|
|||
00f00193
|
||||
00000213
|
||||
00001097
|
||||
e4208093
|
||||
e4608093
|
||||
0020df03
|
||||
00001eb7
|
||||
ff0e8e93
|
||||
|
@ -122,7 +121,7 @@ fe5210e3
|
|||
01000193
|
||||
00000213
|
||||
00001097
|
||||
e1808093
|
||||
e1c08093
|
||||
00000013
|
||||
0020df03
|
||||
0000feb7
|
||||
|
@ -134,7 +133,7 @@ fc521ee3
|
|||
01100193
|
||||
00000213
|
||||
00001097
|
||||
de408093
|
||||
de808093
|
||||
00000013
|
||||
00000013
|
||||
0020df03
|
||||
|
@ -145,26 +144,24 @@ f00e8e93
|
|||
00200293
|
||||
fc521ce3
|
||||
00001297
|
||||
db828293
|
||||
dbc28293
|
||||
0002d103
|
||||
00200113
|
||||
00200e93
|
||||
01200193
|
||||
03d11463
|
||||
00001297
|
||||
d9c28293
|
||||
da028293
|
||||
0002d103
|
||||
00000013
|
||||
00200113
|
||||
00200e93
|
||||
01300193
|
||||
01d11463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -1022,6 +1019,9 @@ d9c28293
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
ff0000ff
|
||||
f00f0ff0
|
||||
00000000
|
||||
|
|
|
@ -1,46 +1,46 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF
|
||||
03 DF 00 00 93 0E F0 0F 93 01 20 00 63 16 DF 27
|
||||
97 10 00 00 93 80 00 FE 03 DF 20 00 B7 0E 01 00
|
||||
93 8E 0E F0 93 01 30 00 63 18 DF 25 97 10 00 00
|
||||
93 80 40 FC 03 DF 40 00 B7 1E 00 00 93 8E 0E FF
|
||||
93 01 40 00 63 1A DF 23 97 10 00 00 93 80 80 FA
|
||||
03 DF 60 00 B7 FE 00 00 93 8E FE 00 93 01 50 00
|
||||
63 1C DF 21 97 10 00 00 93 80 20 F9 03 DF A0 FF
|
||||
93 0E F0 0F 93 01 60 00 63 10 DF 21 97 10 00 00
|
||||
93 80 A0 F7 03 DF C0 FF B7 0E 01 00 93 8E 0E F0
|
||||
93 01 70 00 63 12 DF 1F 97 10 00 00 93 80 E0 F5
|
||||
03 DF E0 FF B7 1E 00 00 93 8E 0E FF 93 01 80 00
|
||||
63 14 DF 1D 97 10 00 00 93 80 20 F4 03 DF 00 00
|
||||
B7 FE 00 00 93 8E FE 00 93 01 90 00 63 16 DF 1B
|
||||
97 10 00 00 93 80 00 F2 93 80 00 FE 83 D2 00 02
|
||||
93 0E F0 0F 93 01 A0 00 63 98 D2 19 97 10 00 00
|
||||
93 80 40 F0 93 80 B0 FF 83 D2 70 00 B7 0E 01 00
|
||||
93 8E 0E F0 93 01 B0 00 63 98 D2 17 93 01 C0 00
|
||||
13 02 00 00 97 10 00 00 93 80 E0 ED 03 DF 20 00
|
||||
13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 16 D3 15
|
||||
13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00
|
||||
13 02 00 00 97 10 00 00 93 80 00 EB 03 DF 20 00
|
||||
13 00 00 00 13 03 0F 00 B7 FE 00 00 93 8E FE 00
|
||||
63 1C D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC
|
||||
93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E7
|
||||
03 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00
|
||||
B7 0E 01 00 93 8E 0E F0 63 10 D3 0F 13 02 12 00
|
||||
93 02 20 00 E3 1A 52 FC 93 01 F0 00 13 02 00 00
|
||||
97 10 00 00 93 80 20 E4 03 DF 20 00 B7 1E 00 00
|
||||
93 8E 0E FF 63 1A DF 0B 13 02 12 00 93 02 20 00
|
||||
E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00
|
||||
93 80 80 E1 13 00 00 00 03 DF 20 00 B7 FE 00 00
|
||||
93 8E FE 00 63 12 DF 09 13 02 12 00 93 02 20 00
|
||||
E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00
|
||||
93 80 40 DE 13 00 00 00 13 00 00 00 03 DF 20 00
|
||||
B7 0E 01 00 93 8E 0E F0 63 18 DF 05 13 02 12 00
|
||||
93 02 20 00 E3 1C 52 FC 97 12 00 00 93 82 82 DB
|
||||
03 D1 02 00 13 01 20 00 93 0E 20 00 93 01 20 01
|
||||
63 14 D1 03 97 12 00 00 93 82 C2 D9 03 D1 02 00
|
||||
13 00 00 00 13 01 20 00 93 0E 20 00 93 01 30 01
|
||||
63 14 D1 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
73 50 00 10 97 10 00 00 93 80 C0 FF 03 DF 00 00
|
||||
93 0E F0 0F 93 01 20 00 63 16 DF 27 97 10 00 00
|
||||
93 80 40 FE 03 DF 20 00 B7 0E 01 00 93 8E 0E F0
|
||||
93 01 30 00 63 18 DF 25 97 10 00 00 93 80 80 FC
|
||||
03 DF 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00
|
||||
63 1A DF 23 97 10 00 00 93 80 C0 FA 03 DF 60 00
|
||||
B7 FE 00 00 93 8E FE 00 93 01 50 00 63 1C DF 21
|
||||
97 10 00 00 93 80 60 F9 03 DF A0 FF 93 0E F0 0F
|
||||
93 01 60 00 63 10 DF 21 97 10 00 00 93 80 E0 F7
|
||||
03 DF C0 FF B7 0E 01 00 93 8E 0E F0 93 01 70 00
|
||||
63 12 DF 1F 97 10 00 00 93 80 20 F6 03 DF E0 FF
|
||||
B7 1E 00 00 93 8E 0E FF 93 01 80 00 63 14 DF 1D
|
||||
97 10 00 00 93 80 60 F4 03 DF 00 00 B7 FE 00 00
|
||||
93 8E FE 00 93 01 90 00 63 16 DF 1B 97 10 00 00
|
||||
93 80 40 F2 93 80 00 FE 83 D2 00 02 93 0E F0 0F
|
||||
93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 F0
|
||||
93 80 B0 FF 83 D2 70 00 B7 0E 01 00 93 8E 0E F0
|
||||
93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00
|
||||
97 10 00 00 93 80 20 EE 03 DF 20 00 13 03 0F 00
|
||||
B7 1E 00 00 93 8E 0E FF 63 16 D3 15 13 02 12 00
|
||||
93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00
|
||||
97 10 00 00 93 80 40 EB 03 DF 20 00 13 00 00 00
|
||||
13 03 0F 00 B7 FE 00 00 93 8E FE 00 63 1C D3 11
|
||||
13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00
|
||||
13 02 00 00 97 10 00 00 93 80 C0 E7 03 DF 20 00
|
||||
13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 00
|
||||
93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00
|
||||
E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00
|
||||
93 80 60 E4 03 DF 20 00 B7 1E 00 00 93 8E 0E FF
|
||||
63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE
|
||||
93 01 00 01 13 02 00 00 97 10 00 00 93 80 C0 E1
|
||||
13 00 00 00 03 DF 20 00 B7 FE 00 00 93 8E FE 00
|
||||
63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC
|
||||
93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DE
|
||||
13 00 00 00 13 00 00 00 03 DF 20 00 B7 0E 01 00
|
||||
93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00
|
||||
E3 1C 52 FC 97 12 00 00 93 82 C2 DB 03 D1 02 00
|
||||
13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03
|
||||
97 12 00 00 93 82 02 DA 03 D1 02 00 13 00 00 00
|
||||
13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -5,57 +5,53 @@ generated/rv32ui-p-lui: file format elf32-littleriscv
|
|||
Disassembly of section .text.init:
|
||||
|
||||
00000000 <_start>:
|
||||
0: 00000d13 li s10,0
|
||||
4: 00000d93 li s11,0
|
||||
0: 10005073 csrwi sstatus,0
|
||||
|
||||
00000008 <test_2>:
|
||||
8: 000000b7 lui ra,0x0
|
||||
c: 00000e93 li t4,0
|
||||
10: 00200193 li gp,2
|
||||
14: 05d09a63 bne ra,t4,68 <fail>
|
||||
00000004 <test_2>:
|
||||
4: 000000b7 lui ra,0x0
|
||||
8: 00000e93 li t4,0
|
||||
c: 00200193 li gp,2
|
||||
10: 05d09a63 bne ra,t4,64 <fail>
|
||||
|
||||
00000018 <test_3>:
|
||||
18: fffff0b7 lui ra,0xfffff
|
||||
1c: 4010d093 srai ra,ra,0x1
|
||||
20: 80000e93 li t4,-2048
|
||||
24: 00300193 li gp,3
|
||||
28: 05d09063 bne ra,t4,68 <fail>
|
||||
00000014 <test_3>:
|
||||
14: fffff0b7 lui ra,0xfffff
|
||||
18: 4010d093 srai ra,ra,0x1
|
||||
1c: 80000e93 li t4,-2048
|
||||
20: 00300193 li gp,3
|
||||
24: 05d09063 bne ra,t4,64 <fail>
|
||||
|
||||
0000002c <test_4>:
|
||||
2c: 7ffff0b7 lui ra,0x7ffff
|
||||
30: 4140d093 srai ra,ra,0x14
|
||||
34: 7ff00e93 li t4,2047
|
||||
38: 00400193 li gp,4
|
||||
3c: 03d09663 bne ra,t4,68 <fail>
|
||||
00000028 <test_4>:
|
||||
28: 7ffff0b7 lui ra,0x7ffff
|
||||
2c: 4140d093 srai ra,ra,0x14
|
||||
30: 7ff00e93 li t4,2047
|
||||
34: 00400193 li gp,4
|
||||
38: 03d09663 bne ra,t4,64 <fail>
|
||||
|
||||
00000040 <test_5>:
|
||||
40: 800000b7 lui ra,0x80000
|
||||
44: 4140d093 srai ra,ra,0x14
|
||||
48: 80000e93 li t4,-2048
|
||||
4c: 00500193 li gp,5
|
||||
50: 01d09c63 bne ra,t4,68 <fail>
|
||||
0000003c <test_5>:
|
||||
3c: 800000b7 lui ra,0x80000
|
||||
40: 4140d093 srai ra,ra,0x14
|
||||
44: 80000e93 li t4,-2048
|
||||
48: 00500193 li gp,5
|
||||
4c: 01d09c63 bne ra,t4,64 <fail>
|
||||
|
||||
00000054 <test_6>:
|
||||
54: 80000037 lui zero,0x80000
|
||||
58: 00000e93 li t4,0
|
||||
5c: 00600193 li gp,6
|
||||
60: 01d01463 bne zero,t4,68 <fail>
|
||||
64: 00301863 bne zero,gp,74 <pass>
|
||||
00000050 <test_6>:
|
||||
50: 80000037 lui zero,0x80000
|
||||
54: 00000e93 li t4,0
|
||||
58: 00600193 li gp,6
|
||||
5c: 01d01463 bne zero,t4,64 <fail>
|
||||
60: 00301663 bne zero,gp,6c <pass>
|
||||
|
||||
00000068 <fail>:
|
||||
68: 00000d93 li s11,0
|
||||
6c: 00100d13 li s10,1
|
||||
00000064 <fail>:
|
||||
64: 1000d073 csrwi sstatus,1
|
||||
|
||||
00000070 <loop_fail>:
|
||||
70: 0000006f j 70 <loop_fail>
|
||||
00000068 <loop_fail>:
|
||||
68: 0000006f j 68 <loop_fail>
|
||||
|
||||
00000074 <pass>:
|
||||
74: 00100d93 li s11,1
|
||||
78: 00100d13 li s10,1
|
||||
0000006c <pass>:
|
||||
6c: 1001d073 csrwi sstatus,3
|
||||
|
||||
0000007c <loop_pass>:
|
||||
7c: 0000006f j 7c <loop_pass>
|
||||
80: 0000 unimp
|
||||
00000070 <loop_pass>:
|
||||
70: 0000006f j 70 <loop_pass>
|
||||
...
|
||||
|
||||
Disassembly of section .tohost:
|
||||
|
@ -69,14 +65,16 @@ Disassembly of section .tohost:
|
|||
Disassembly of section .riscv.attributes:
|
||||
|
||||
00000000 <.riscv.attributes>:
|
||||
0: 1941 addi s2,s2,-16
|
||||
0: 1d41 addi s10,s10,-16
|
||||
2: 0000 unimp
|
||||
4: 7200 flw fs0,32(a2)
|
||||
6: 7369 lui t1,0xffffa
|
||||
8: 01007663 bgeu zero,a6,14 <test_2+0xc>
|
||||
c: 0000000f fence unknown,unknown
|
||||
8: 01007663 bgeu zero,a6,14 <test_3>
|
||||
c: 00000013 nop
|
||||
10: 7205 lui tp,0xfffe1
|
||||
12: 3376 fld ft6,376(sp)
|
||||
14: 6932 flw fs2,12(sp)
|
||||
16: 7032 flw ft0,44(sp)
|
||||
18: 0030 addi a2,sp,8
|
||||
1a: 0108 addi a0,sp,128
|
||||
1c: 0b0a slli s6,s6,0x2
|
||||
|
|
|
@ -1,5 +1,4 @@
|
|||
00000d13
|
||||
00000d93
|
||||
10005073
|
||||
000000b7
|
||||
00000e93
|
||||
00200193
|
||||
|
@ -23,12 +22,10 @@ fffff0b7
|
|||
00000e93
|
||||
00600193
|
||||
01d01463
|
||||
00301863
|
||||
00000d93
|
||||
00100d13
|
||||
00301663
|
||||
1000d073
|
||||
0000006f
|
||||
00100d93
|
||||
00100d13
|
||||
1001d073
|
||||
0000006f
|
||||
00000000
|
||||
00000000
|
||||
|
@ -64,3 +61,6 @@ fffff0b7
|
|||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
00000000
|
||||
|
|
|
@ -1,12 +1,12 @@
|
|||
@00000000
|
||||
13 0D 00 00 93 0D 00 00 B7 00 00 00 93 0E 00 00
|
||||
93 01 20 00 63 9A D0 05 B7 F0 FF FF 93 D0 10 40
|
||||
93 0E 00 80 93 01 30 00 63 90 D0 05 B7 F0 FF 7F
|
||||
93 D0 40 41 93 0E F0 7F 93 01 40 00 63 96 D0 03
|
||||
B7 00 00 80 93 D0 40 41 93 0E 00 80 93 01 50 00
|
||||
63 9C D0 01 37 00 00 80 93 0E 00 00 93 01 60 00
|
||||
63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00
|
||||
6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00
|
||||
73 50 00 10 B7 00 00 00 93 0E 00 00 93 01 20 00
|
||||
63 9A D0 05 B7 F0 FF FF 93 D0 10 40 93 0E 00 80
|
||||
93 01 30 00 63 90 D0 05 B7 F0 FF 7F 93 D0 40 41
|
||||
93 0E F0 7F 93 01 40 00 63 96 D0 03 B7 00 00 80
|
||||
93 D0 40 41 93 0E 00 80 93 01 50 00 63 9C D0 01
|
||||
37 00 00 80 93 0E 00 00 93 01 60 00 63 14 D0 01
|
||||
63 16 30 00 73 D0 00 10 6F 00 00 00 73 D0 01 10
|
||||
6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
00 00 00 00
|
||||
@000000C0
|
||||
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
|
||||
|
|
Binary file not shown.
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue