From 3771cba0aaf0cf7114ac66a8e3762b0c8e7307ba Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Sat, 11 Apr 2020 22:43:23 +0800 Subject: [PATCH] add coremark score Signed-off-by: liangkangnan --- README.md | 10 ++++++++++ pic/other_coremark.png | Bin 0 -> 56145 bytes pic/tinyriscv_coremark.png | Bin 0 -> 18424 bytes 3 files changed, 10 insertions(+) create mode 100644 pic/other_coremark.png create mode 100644 pic/tinyriscv_coremark.png diff --git a/README.md b/README.md index f1c8c50..52ea9cf 100644 --- a/README.md +++ b/README.md @@ -39,6 +39,16 @@ tinyriscv目前外挂了5个外设,每个外设的空间大小为256MB,地 地址空间分配 +目前tinyriscv在Xilinx Artix-7 35T FPGA平台上执行CoreMark跑分程序的结果如下图所示: + +![tinyriscv跑分](./pic/tinyriscv_coremark.png) + +可知,tinyriscv的跑分成绩为2.5。选了几款其他MCU的跑分结果如下图所示: + +![其他MCU跑分结果](./pic/other_coremark.png) + +更多MCU的跑分结果,可以到[coremark](https://www.eembc.org/coremark/scores.php)官网查询。 + # 3.如何使用 本项目运行在windows平台,编译仿真工具使用的是iverilog和vpp,波形查看工具使用的是gtkwave。 diff --git a/pic/other_coremark.png b/pic/other_coremark.png new file mode 100644 index 0000000000000000000000000000000000000000..9c38ee78ccd08967179fe3146c655386b676a9e7 GIT binary patch literal 56145 zcmce;1yE$mvMxL@*f6*|0}KN+?(Xi^xVyVM41>G7ySqcIdR_~ z@!pO5;#EgS_v*D)bxG#R%S!BXJ_B@>mQ>RA|ivz2GOXpi%*-^GA47zWE0KTe07>8Sx?hw=x2$+=_(!ui^$4gh}%E`J_tTj>1JKYV@t5SveNJ3*H55ra^yIR6DEZQ^9a}1=5vc;Hb4uwN9co=q0^+Z^ zibf;nks*%#THXkI?y{VgxxIi=djZx!sIqOH;fHtNlk+GS_G*l=r6oZq%=}&Ha-xYu zoDykl=4pNI+i4|EZ5ki|&>iJOBLtaxCV{DV&)m{ugdeD`wHA{c$Mi|5uPitvB^i|> z$UKfDPH!I;F9kSjg`XpV9H;Ek=Lk0&{!6IYe5o!NLx%O|KL;hay<1a{NToR+zNQjA zIK2bT|Fu8t!i%S0Z>Wru3@k!Z>=t*fQSgnGIqm4+#%w_^UA< zH`>Iio26i(U-RzhJZNM9$wDC@%*w6syHoxhqk4VgY${O1{OMG|&7ysP3sC4)-a+6cuzE~R7%64gD@-7RB9HB`K_vCm^3CHXmSP!{x(qs6YDw^cSJD93L zDK_i)K{0CtnjPhJ>k7i(B6;<*&SX5Ip&2K8vVX{dE~i!s(PNfxmBh=M;HCV<3aX8)Fj@4I zLe!f9_TwPvKC;i4(@K?KCwsn2%fB}2kqa~T=j1r_(Sb5lV*zCX>y{UFjJTmqOQQz* zG$foFnWzMAV6ds$k$KVWCm%*+{#zsIzZFs5ujmgxD!thjp~whQR*D$z?{Vo{lZ9COn&bn-mC)wAl9x!!Km6xzEGh(EB|bAK%(_8dm%FU zD6_*qU~edqCSw28Fd%uc`yjCKs2y33zr?ZIEWM$GzNP|=K5D|i^SfUORZZYt&YPNv z9>5C7=o192iL2Er;rk*?3C&EJcpy=>aQe%5F9PaRo1yXHV`O@STnfT;NJ)tmBAiTx z-Q?mIumUry9cxgf1jAGQ?dg^a-aRRcjWWg%8o^v(*RXG^cQ0nhIErL=ElqOG=Bh0* zBBvxAW)@68dZC|5$33QFo_yBIrDQgAc5cFZgN=;N)=H@bp4z}9npu~(nwr%?EZN;< z>INrL;-T5RuNs2FYt$~pfBS-7Hq17VQ*x*LC$vclTR;47p@&WPHHJ|cd65}E)mLFL zwbrDL@SNq~CpeQ-lE03VR*4UHNQ6aD;pQBJ@1LKPt4YP<&fZXvaRW%c@rX`J(%VGU z=rx13y3%2@0_))w69%b2m0TxyZ3oYwin?#Wjf#c9^1SwjLBe$(Z;e1 z{<%c8f=nKi1oAR%&Pj@>Cs~bG8m;xi^-a3mN&V~jJ>mm~wX(?|5COc@r(mt;>J&nm z)-ltpC@mJpXP>(|!I<~I#J}T`uVF${;bqdfT>=m4umJAGi*RHn<>G*mlj!-)nzXW^ zP76FK>1RpfezWmVCf?^fI@K?|ndUUaMqbyj=AI?BzhiKKFDFq(1kE7PwgGX&G`YBop|ai#;%n(PQG30`TW14dfG0E9oPH zcYc=UG#Atk0wYzw_&;-K2Nm>)Y&WY!+`{l(Isb9143jLia#>p?{k%F;aBlz7j!g_u zUGt0v1;eo#n)7N1yPbuSZaaQQap?1`8|yGeo3S-0)$0QfEU!xu{X=Y8ax;A&P4Tzh zk02_zW!}}v#2;iYDd$4K@u!xyPmUF)PbCa3tM4T!sO5&`bb$l1Yp-U^s&LFWtriIB z8D|xSzjKG{c<(h1fhyhGF5GB@0>(c>@w-X?Qz3-%kDlu81b@eax^m25ISEvV&+Y4q z{#N0?Y^s^wf3dCAGyfW);Nbtg1^usfpYnfZ+W)(MPpjN&_zmO)4=mT`4j?lm&uXJJ zs;t2Bn%lq_Dt63?H2NCSj z%$Ym($*(QnlNZOY$tQO`2x8hNSvC?1f7*^OStp6K8hO0AU9UNycghhAjnk_nq?qTE z{Bgn@duBLg(5W!f4K3SLzd-A|I_MdmcZ+>~>A2L+iN+&42ex97Jkum+6tt_bGdD@* zv<$Uxc|Ugu3Ed~g7Dq{`Y?K+2=6YqWMT=wWpE6Z-DOyhi7Eqikg&$!urU=FBEG@*|;UeuGICXhn)wdiu zSXO^vYcNmn0t8&gLe}CU^^~}($P)pBE0f$6?W2$-n%@aWc-j??Vr#ql;T2bspUd{S zt-u&XGGS7 z#Ct{CTuEC-86(u4pa|OvCeC_2)7L@EHZJq5vBve^1>%&wxh^~*$D6q}SxCq&-)CZE z$EYPK^=xA~WHJL`_E5($#8@scwyaJ93##iI8SfB~^#X|AM#NNg%}7ntw9{s3 zyDK59p6%M4n%os}4C4aT8mMZtH}0M-@2lo7<$LfP5`HjfeHavyce6~X1hi5IZKsTq zD#~LA4IR+*8BXGlGS6aZu5A65%3X_vhmEx-P3sEFr?KVRQx2M1t&RPrmf76#&R9>v zxCY48v9CtD8m*o?sK}L}tQF0e2hD^!>dhO3kvpu{h7RQ?jZwfp_AT01Ug}thQRLwz zkg)aCw}~&38@&#xgTqXFf@NdGL?6>{rgm%(i}{Fj%Us@SY#Ehj90k|LRhaC$P9dbp zv^istEpfsyPe0aIZoBunyRuvyKZ<>&s&t2oP8i>&Y+HVHXJ_}RjCclaxa8(E(T$;z zWL))0m+Q;sM^OG9yc8 zFM#J}yW#N4ouNi8YsZ6Ul`DhkvUP^qGj-mLNIJ23m4lYl&iiH8K#!oNcga9$EH83( zJ-F^V%!M$Y*89fub{X@-<0{PH)Nq;?=j^F$sF>>~?<9%vjAs#HPM0KC$94%y|F+V! zG4;oqG(#7s!l}=_Lgu@{FQe60Wl)Mzl`Ka}Ltv6P{?W4M*(*lCJ`WM`%%J9wUVDmm zP!8AtYpB?mJm8JQxRlY`UegG-ik$#Qp--<=j{*;~7Tq!Nr+`7gXdn^sYB>sa6m!i? zgg-F5%v$SAZOxiHJ}4flLDL~;3vJ|#gP zduD%9%cRRBgHq$Fa($Xlp4hcI9ZEeq0pOffk|>STt3qLT@*(Std-5uHhICEYVM&mJ zFqbmRwB6WopSgemx$IbnsQ+u~rc;)Dzp#Rz^$Jp%fAG-qD4l94L;~#XoRRc^>k_~F zUUoH;Q$An=!NzzD8g~+Mb%&(CKN40`P-6sgsx;L7+U@W^JmsfRbwl-4Gii={sZK49 z`(+X?SvT9Ub4h16e0H8WkI3T=j9YD4_2anx8Xe`4=av1V?+V&s=(L#(gX=$AduG-j zrV>}}oOvz<3M4-T-Zh~KU^9LvuCqyJV*I+@$9xLoP?2O-6owlC8L&5(U}IixK0OAl zx)yA<+fpEv@#3-9M@ynt&d#X&IA#xC7+If=N8vAx*;Y^xsmb>v7*)LT1SRAIi!`p- zyx+1^Tq?t+b+|s9)3PK+*{f>xy5(MD&hq{Xa*Vc#EM!~wIcqN_5fSvi;u-4Ch z)|aF`>>|pdIKyz9_?FmXuFPdo`rBmo^GG~MS-_RCEv_eY-mBKEmiik~_+ro{n;M}? zNFGyqxm&C#8fK!>Ui}ePa@9B!v|wS`b%?%~`*rI60Z;Mv*HIU{W_t%ui}pq)#N4$% z+FDz=kwFpgA@Q#^1b)K#IjKg#Qn!NJk_kx3%(?h?*+H^Yc)t%PMrwP1z{ z5?;RzWHjmf);@dXz51LNcY!X5B6Bg+V}5M%La{;-J;`+N^RD`*)F8k!=W+Rv@R!y| zo$vd>whpq`vzOOw6BC3RM@RbBL>9ehF~u73C9-Rv!e0U({vdt1xSk@ZbEy|NnN0AT z@=s4>>nWpwSLq%r+x`UyPkrxhYN+OQ2 zk_!JS#?;U2$F)alfb;XOU~<}$ol;PuD@SdULbl#!|2#)U>*g+xb_biWJx4u9&9V@N2%u?3mZu&BrwP|5NTB;vR)cpV;O7nA1!&-#$EO-EYE zfElY?s=J|M+!F3^Gnlk7;v$-xP$Dp-iIt<>q)cg!LI&BBz~yhpW;WkZk+m)!I2w#b{hD zDYPyjq>nq0eY3qzK2Q#Q!WJdYoFQ+bj4r<-Ol%KQ-rS;Xf2o>9(2LCYF}ToQLBqKsRZ@y7qJ9 zk?Vz8owZy@$ZY^cMXiaZ7R_`B+qj{fLWlyd9k=Ni^jNK>ZIfy$>5^L9^Dz-9F|tgU z4C3Xmhmf+B$jqyrrkR&alP3WWtL@$;D7^~Fx$Gy2m^*j}mj(CR`$HHk5gTKWiT4iv zpH;wr7ilUhfVH;})6fD+6aq^FSE?&vzMa?IL|)dJShDQW4_D4UMfeM6v-Va7b;IAbQqq*^Io z{nFuP_B_HrLU~5uFj*o3hf)qe|H{F7R%P!%-Ri={+D>o<@7UuM&h-sPiNxq>u5v`@&)m!cs zDy8!iFSZVPj$V^xAQod28kLY>;@a25ky6M9p_aZIuJ-|k_E7T~^J?;#E4tF0vPVm( zd$drl+!CXzd3Ig%z2wKDCm_Eqw? zwj3W}^O9C+-_ENnkKI9XS%5|$X}wfrWT#`LhWe{yFBy8G{h((Y^kN(knI!7Upo3uN zx-vs?U@$%wBiN)7F49G+bs6u=*&UIQXA|*)OM(Q%g z2?|!HJLZmsYY9YWg;N)Yfj<61ZK=kXI`=VM6N4d+tjW4f0ik%@#2nRKXhe-c4PtMg zI;JFb@ySVN0#ubX+Bi7?gV%!+4L!PP^(B)ZxPvTCS_Z7}V*cczTn=}m4-#ro&t8WJWj zpt~qOlV5%E`X>FU1x*1gZD4ACH~!g8=(fRnm6+wT$+!`JrMjg@^dgW|eeW8a3S3I? zF{Ihr8ndl_fa@l6PI4%|N=YlNk^3t(NoDgHq!|w;9*d(b@JnfEmT)Rj&2daIolR8d zjV3s4yq|b4qO}}+z`<{J{avf4sFtF+NxQfS%u%{A;j9gd>DS|7mPon&Yv)~)kfF^Y zcW;6!`Y6?mNr}|d)rYBTHqP@s&`iH(9VJ;{AuQIc;TejRIlWP)j-f9Qaa-*em`dNZ$C%TgnqeSEmz zYfB^MEcf8=vTLiJg->dfC_(5bj~EB8Ee@a6(wE7M?Mg8b-leX)S=wQmHb7~1R59Vu=n17DEt!uU%O`SUmeO!k7pW zMvQFXEO-C(3nTNO*)?Q!F}LhkT*DUIQRU?*%=T#q(N*_ z7FgrR$Gu-su+5L2lsd4g(r-3VqRvENmsc=V_6_x@p#`#lgqZRCaI5AKt4U)9PqI6% zE-1)+HsuEjI{$~W77%&`A934Y**ZMu6LB~iRH~6`Hf|y~Ppp=?Xjjn3J#MmsR?T_F zAM0Zmsd6t$pz;k(nkB%}I$Y&Eb#Iwp#2FI9b3aJ?Y#+Hlpul~p)u>aWQqN29>2TD- zd=N7cmWcffbS^xgfdkKmQt7RovQ$+l0qsNrIsc}USESNr%pMGgbh5Xk3h+T0>@cUg zR+~VbZAqjowK9=>dC9p?_UL(8qa%3^WNqZ`3dPG<%zZl+e_b;leVa>FVWh!~D>+HM zk8R02>pzz9G(Acv&CgH>kf7N_5zEKq{!!C!$&nw_C{z3||-D5|@ zg4kpJ0I{aIzd5}tsppBY_6y%Fa|j7RMlt;gT+5XM^cm{WA|tcAysAB8EEdpWr6nj5 z4eUq=XQUrg{8AJ5elUci%X?R=Cv@YOyz2aL8~>9o3Xp=`PYbmDJ*EE_mIf3W?zoaQ zNM<_8XA)8)P;M6cC$HqFBi_xolf0N16uK!px$yl1(aU{~`hYrdSZMT(H|{Qs{}W!D z_L4dm?D+?M16wz=Jd1?PLp^y7@+|xp8rRZi;)5p5RVlR5pZVY8!~VOV+5Zr;bNxLw znFKdt@i%-)Ed6deCFEvwndQ|MuL4xPY(`<p!H7Q$h7Gq9oD?m z>ZTo&a;9zV?Q#(E<{4z!>uin_tVv~_q~g1{ zaUf$eGe>6!EZKY=D!R}ji>~T*BUbxeEQ%b2;Rore8H7;fMP^r%g5qN;L(EgWXa}=z z#T&&ra?*PEpsl!~;qd^asnSy(;;N!PsGEicc>d1v15UobsL<7v^duLk@;s<~=VmDz zE_hH4ReWT={=;Ka*#AI?qcrj}x0I z6E}fe;_ZnAyJG6fX+Ipy;XSP{hwD8No;>J$Ny1!9T|Aro!a+l06AwtPL~3p}JG;i& zZ@?}WybIstkuh-PmtxQ2*amb{dg;sqzYzVD$^Kd&a>CYZ7d11`Q(VI1k55YbV4So7 zLIr}W?6m=@H4A`|NMqcicHgpBlAS&el}?M|{=U=GBy$;;i0gKI2^h)FoBQ9LQYnU&k_wrA0ooYjZ?r3j@4UD~e*Xq=XEU~W#|A0kj%GEL`k{2< z56;xAPuaVM`YRu+Ev0(HkxC}~oUB0?u1`g{H4M=NscW3UHX{Z>`0+&&Y8N}mqrdE}g1OV*owXw_NA{@!z=^;6zLI&upv@rX;3BAw?L;H9!% zDGp$;awFXbvgCEdA=*}onXJ07NTtu>Et*<{+a#-VjOC83|eq`x*qAD>2@ z{7CC=jN`YP87Ro_2;NT^%=aAqn;~*^DdVVA=0*0J2=xxxOZ4aXvN9Ul7&66Wj7-@2 z=P@?sl3>yPL7w@SEwV{*xA8P1YwKB`%9Jat&8N9Ri=Dn#x81tt)>m#vAGb>99Y&kP zceAM`?!_7+8mP}aOO|h$P43ErA3U|swLQ3|mV{fYPkVQ?sQF@LMf(W1O(kVh35rH+&J!9bn6R*&-0Dbiq>3bgjCzo0fRvBg~*JUVuYcJ>u=F7IDk!yu&RF z2tGg0FjburJ(*p}gE#X55Sm5b`{4Fcjc2%zS4*jjj^72U1z9Oy9kBO?F8cS^Qp3%H zlzQes8P=vJI_p!6b~!mJ<9`CXnxz9R_ejF>0@aZ9H3V0&diuC-i%#Tw=HJks8K>l! z^8<1~syeFeW`YSuS{aD%+B81z$HRe=64BEI1%a8w?dDl~+bXGR3@`BnHisL0FUFTz zGlq{mWPOijyj7V_8FZd=%AOd+6CU$t<1)BbFlg5<>bA;X?CvyZv17vrb2_&2P$iJ$ z!5pk!Z3Ux!ym}9*Wr*{74o%yM#`L&y7-^KZqg0{4h}>-{^dXxYv?L{{4N9;P2 zWmEq|GFvvLnM4pNKUqc_^;S|Jn-u>cG&RM4&yeLn9b0+z$qwjdrE!>4%(c|Z%Ja{&y)ZRMJZZu~s*M{$|h#sx3yEdlS zIN*fi8wiUv#_I^#ZW{H^K`8lCIH6k;!%A42%xGW(l>xNhvV~d0WqHg9QiTan3@~C3 z9GNE+sf(G7Phxo6yz~oZjifcbg2X4fX^~cy=f?pP?pWlay-p|bttJ6O>s{thq6Yb4 zdhO*W>!A&upPX=hrjWU6K(V5a=8Dp+9+Q@4A;a9W3{gJJ&+X#qQo`Tc;nk zxNxPTe}sqhj?(t}PMW=|r1qjpUF2nwzcFlqTj*ZsPTTXv8!&Z*FJ-BR8ii)09U2xk zZyuVc5LZUoskRL;S$o=$IONG@m)KAZkdJF>#_KuRP8cOFbi<%dgUm@r`ElLf$>Ahi z9~@dqz`pNX)pksAUCl>@#%2m7GDltcOFiBSB}fndEkxAk9ht1phLO_+>A4n=!@mwY z&_qx**vGcZr_tADnV1LncsPJWo@t$y=^3KDk)tjL9Ui|Qr;gZ(AF<*$bH#5ur!rRb zrz3}TQ!h8(U(`>*1Iqp0GZNgYLsH2Vg@!^I1eYTA|C50^%#g(EoZ~mN8xs0e z!&>|r;l)tnC}k6_jF@HbO+Vm$>C%qJirvfM!k5Q!RjZ}{L-*(?HW-gft-%sgh!l?{ zi>kHrGbbJB99*+x9#e4x-~X+Fpr?d+XNq^@QI7V(iG9PY^x!9HMVF{r4P4i1lr?YOw0wW|2D8Q=-G&llc zVc$J*ELZbFhcS;-MwiI*T19%;J?XCfE~;_K%4GG5B4G|})!M9ud`9}IEX~zkuO2!0 zmY0%@X{5N6<^@OQjr1;wmUIOS4Z3uU15<5g`4ZP_Y5uTQXriTrwARe!={(sT+;0!I zm|-DW<9cVGepM36G7i4Gj5jiHn@36SGj8?(4C5<2t zccZ5PIim~~c)*l3k09T7-q$D_(1VP=ynwE(k_3~LD(>(kw!s_AS0l4djje+1JQ(ck z-E{2Qb|R0Ll0ney`j3aEqLNRQ746grd7y^CiAONAsSTcM)%HUYo(q0psN#Mq*O2f%iR=w@)s%PfmohQ<&OmRnu);bDw3!6|l$yEe$+6~OF7J-TSjFE*l zF0OXGOZC_Sr-1cJgX{gzeAmV>m??PY!visWvVAO1-}3$2BSgSnFPDE3nJRC{pX@?u z9bXCiPgB+yPSvroH86sg&hgzoY0)3a3HMRIqI|a6sVo<&S5coCQAGOVnCd&X&0XUv zPo*g|E%YdBXo$+g?&rH`fc)5u;>>#?p_v|WT*N}+J%o3H;*uu4BBHtt5aoK>s13&9 zmFVkat@a#4v$Rt<_D|B&3;iZlg7R%86<%s{w>^iPyZ>s9a!(fFyXjDNFyA!8) zYk@sBVttc9Xt=O@rla-uk^7ZD*=ixHPHyuf@0^#$utV@83YpV@B3s03r%O-l{J9=q z{m^+kBcV;Gk;5Hf7wd?=NXxJbme%0aG9gKxBj$H&bsC$p`pkr*hw=m7)29DWD=kXA zX}_6q*DpY;Z{C}d{N&V;(*ssy7ui0vV>;~9%~1tj@7<+phqPB7ojyL`SJyu4qntRD znt_A5KpZ4`CwAkSWzzMk+9us?Um?v%PiR867KD+3v4IstK|77s#Lq%+Y{k@2RBxY8 zQ9%P96_S(=^4)Vd=M^4^8RjeZp9+X`p{k#lvrFa_x}~v?{U+^w5$1hO66orrenTGV zJyZGx5TA2Y)rm3QYM;?0R$zYiM1` zdDuhptXwm-MrDPE)I?l9m>o>|vtJyEigi3PwZgmikBb=W(%U4qxFrmyW-VwZz?*OB@Zf7?O<7d%G|fdVQD-3{9BdgJ_@sDrr!Vr zW45tY*yOKyc(%#`zC}|tY*X#ygW#Fk#9z;$bGC}K(?~er;?RcGmNKf%CxvRZP25Y@}bvW}#8b7w|A7Q4z_|*lJhrFU*aUu*%!Vz!fxbzg5vhj5<4yz5eCc8yr z{|3_dV*lHH1j=$48I#|%nQfdD1e4?*-F4d=j}O2NH9Kz7yM_Kd)%s`C&V5o}%Ey9^ zgB3w!Jo+uT=@^Uz5jtsS7KS!}vITRKuHH%u-1d&oOD95t)qu80-*k~2j&-1K7sg>m_Pjw?!dJRT zsEeu1-`eX>1Sgmw!g;!ib>gxMd2p!4D+hnJ@}%?%iTc6?qf&a>bQ^&o2`nP$`g-NpuT(>^#pZyQ?t3^E$H2~el5~Ia?D4Byc7H-5G8cf+p*qD zVq$py_t|=|q__L#2N3sC1>BB$_3oYLo#=VMv#owFQkn>&g!ehG4>>Ex*)IM$G36F~ zxca>gQ)X&7d%11SbK>0Uu~oUZ`6rBUmkq@``w_Nw26t}nvbm9m>LqLvj=Tj_l^XpU zv9XP^`MB_4*w%8U>^)?=XAQ82@4zp>=dZ3L5URkh#rLegnbV-pIQR6I!{_;SdbiuM zq6wR6$M4(0uqb+x#2?v5$gJa6Uk)}OxCb$#LLQYV;q~0%#JgG>@}?np_3z?obr;{L zIJI__XuHXZDfMwM>R~^P=&T&9MDV^-zP-UCx>bNRr|tQ$INHeCy1*gQ25hPf<`@-) zh@ssZ>3oOdH+{f+Y0JGa1$Wt7e47qRp!vx8ZfxppV6t3Og3B3CYo>9Wvk}PTuAKmT_bM#CbFB4vFU;DxL&UW!zNWQ-=*=>Uetj(nx%)dP3or2yMoqOw zAJN|PC-TBf?6=`(wC3b`sI)a2xQ`%2M0b_w;rB88!`Y!8-pUi?ei&}5xetEV378f= zm$1@GR>Ci%Hv8X-Rix@E8aDuR^X6Yh!$%0PHz$-iecemm@JB$E3QACYx$!#9JFW`Y_VeiBPdn=R8Mqio=b;g#9n@3)>$l(K6E zOgfMsfF{@SAqQcOQw~8ZtR{)k*t2~(tEiW|55(nO0HdU zg?Tp~Asp0<)~xb=95kYkv9|v1&(v38t>L0;&^Y&X!O`BWHZ!((4X6~Ob#I2O3_hP$ z(qm2`i{uKJLRqM!D3xT!nVeG&VCFZXkcxx;%RJ~8W*oR)5FKmxAoAqLNZ+z;=CC<| z9rShbg4BLBHw|dWN=9Ovu)7e^awK{9vrZhWKHRPmSt7GCg`D?q%}2stD5t`J+|fJ5 z3EL7})&isY;iVDY!g`+({rmeJJ7Wiy2pdkt+gZ$fOSe`1hb+cY(?fC5p>>DlEL6x*_;!_CFwSj2z6b8d2*2owJ{)k%6`j&>pKlbr#B z(*Vgv;`1lH&Zs|&74(^)P`mQ}s4KoHErVT^b#&^C+=fHl+CE{-1K;irD9wsn zr{*eSx_jyP{Tss4O)Drf*3hzHGg}b)&c3CJoo7*kn~MAL=zaH~+SR}9L&9%tWYvTw z9&o-I_-}c*!xwfkW1m;Oq9R}F80?0PP_^#uyiJFE$hY3?>X!S8^9i7&ZtAsCdUU)! zns9TgPY{sCids}UboP=CL+P(vQ(CPpF>%wcomhRZBT88U z@%zzKX-TX;CeU$qciDytCVijN5*VTt$XLX{Ty*>S>!2&%S>|LCtF(}*F5RD?JHGdj z*&6a&h&K%ul=1vbnjhAC_+H3#uJjnUo;aOVX`v}JnRP-yCL`TP6_1#>YZBu@2(_8I zL!l$JC5cJ70+%h9plZ>4yIcKM4V8%ps2s&X^{$BX3vR|ip@3feZ;y=G-N@OR=)adN zd^WO6+1phER+0=yNHT| zrHJyqUmI7Q@!b+2QD*pfC>>i956{uO<><#Hy9W6*hl;gB=EzZTbpr-~<|S(xWIVjX%5FQt)?xDYhr@6Baj zXZ^d)Ltwde=5Vg)3xgyPi#-Lg8CA}lBkRjf#MRAZwKT;zAC~@)|l+ zK#S(dHU2D+{b_Ux?&L;URYEKhl6DU&G3NL}?!T3fA#|9mv8Wn7X=CvU;I|iT)|@{z z`FJ3`dy2Tn+Cw3nw1slO_ z3)e@2x`Ghmji$39Db0xD{X?Kg;6LLbh5HRRwzM7(jd6W_00wlE-DHX!sQK{@F; z<)3(fuIIlD_4j+{=3jG8mjeR#NdkgS7_Ez<9qv3s%Z&u$e`ZQX%c`wSSnNxc`SD4I zWCDJezH!+a-7bnL)24Y5j4E69NoR2st7wEqI9%epudjuFzZEfj_Z8MT=aU)UhcPSu zwY(g5bDQKFOPe#9mbfspU-FLYs`kQDGzpwmV1=Q5`qYLZVsQE8dGNc=#x3 (i> zrV}s${LZ?6MW^q1ft!BD8Mlw=TVvf^8m4GcMc|z=d4hFkzJXQy+-M29fPJd3jpNs0BC3CTQ#ZN9mT!6csxQS<=vptcWNyo zt!%(VQNDDO?}5c(Xg&>DRrf%eerKoLtg)tB?hCP#UWR`+`lSBGFCo0;HXI#%4+2`z zmUW+o=xbxmlQ8wq13L}oJP+Jf9LAQm%IT*a=M8X-jj%JQGE{$ZWwc_xon-nWheh?$ z2sgK*N-p4_LI7RrXtEs*WgR_4^5F^lw_g1lEfNQ!Gkg(U4u01(a!;xKVRJhBc4wp{ z;NQBSCAp?8%VY1c>Ubd8-j(MWG_tehS`y-D?HMr{S!@AQYq#s)Sd4`gij5P1cb|wk zaiGZiO)$SB;UlUjlSl;nbe^tba~&;#coiAdB(g?M!CMb;KE`dSq9zEePY|g{Vw$Oi zN{C39wx67`or?{muhfE$5c*wNg`sf|JI)iHP?irZ(46%HS^iD(5ykZscB%uix`ev^ zd~x*ihN`yMD%WCGrBC&Cu!pXL9Y>Zd|*!zCstTy+ALr-_#C>i!TA<9xS^E;wHa%kLE25xJVa3PHj|qB#}d-8@){*5~Gj35Z|Tw z2YpQjtb^1+n54Y|<*1_6oo8L516H*e#`+yYSnJCinCJQHpGM*bH=QSIvup;Sigtdi zl+FXdnLTN?>R8qD94zs)&sT6>F?3K|d}B<@ifkpuJE2AHyfKzFHu!^N?1J9~PLdu` zkqgpg{ReNW33PxvO%eu!O?Y+itX}4NzsqRuG2}Nc;d`d~*ycFvV7!7zcg*98J!zLf zi|quMVO~#9`I*H(am7+@Xjs^=Qb*c0EpUvb+$@xF=;O$Ua_8Vrl2@>uehNg`WuqZ> zYE?`1ojwIxBVo@j4``O~Slgs+c!sbxXPs9U z?nZdZ?ChN79A0iD4ctl{qefVV6kV#$syf=_j{%0XmV*S=J+!v1UC&%?c`%dQ2{Q&t zA@zB!xWbQ>%yX3~e&6KgjM&JCY_G0Q<*o;@J!B6ZC%YxOP~kE|eD?RWHJ>*09NCHq zu73>7-3%6Sr8-u0Jf1?F9kVmi@jNk0{a94=XM!g?3z%~WbHRGq`A(c>7I1}^-~)Eq z(D$z6yYi)LP{VgJ&aYLxD<{Zz58rn%|M3?p@r$1wAL1;D>@AH3vg^Cs?@r#)>+vO+ z?-h`#R7lq^h{-h8P5o5y&}$S;!(yfu+-Ng9r*y?xTmpPe2zd$6L4(BY&qbwIfH2Mv zd=O9T3$XNe!x$4f@dr6?hq%rO9`#xa(XrZxGkT1&8Oa~?Wi?u>0u!o*lPTlF_jSIp zmKE%~DTT~3Ak2F%W4sWaNeU+Xel{A8*yHoHSJN>3Z24`PLY7kN&s@n)LWwRTwHPa^YbDTK6tJ@k+omf%6kR<5#F3NiZ<5k zk@zdO_^Bfz0|p0w#19vn86?(5`U)G4Ym85o)0=N0z+0tyTCFbWtXCQuR6+cu8K>dJ zx^8M71=FBe$?c^Lfu}~T6-Xb6g0wk@-GY6N$hUFAn)znw##x#dN7SVbD@O06{Wf>B z!YC}uyDCt4#t&ks|t1qruxm{J8X>r13M6Tv+xzP}&71+1uVvhYwC^Z_R#|wZmm2q39xw?#f%;p40f!!0=(dVrz&oRGd}UOhwPt^) z3Uxrh;C)zo$OlX1jz0?p1h>xLK1FOY?MrjJ=$N51=*1Dxva|%Upg1&Eblq&g%NIOY zr6c{NMph&35oE$^yk1Tm`)pEW=^wlZA}DrYy#NZ1ERJ5%9i~kzh*byRkI{QT?A4EC z)c$69@^<%4f(2gW^G@u-O%>UDOWR+ld&_|}s5g_{=BAw%q{ARxPDbz%@LlMiXqG$Q zUd(#*uV1(5iNaYbU@XpTBePyndhV>EpmrwzEC#q-uA9u*WQIO&^892`O#EhMD_zrN z_mX`>bxn1C7zMJq6@-5YWXAu|5Ay_tb$_1kc^dd+sP7U!UzI^u-kvXhhp$-MHW4ZRN~7CF$$FJ%`bbQ3!}Rzs zJ5~H&Ua?9*2Qy+(SBFVMS@m~bTd=PWlWA^y?ZYHUYlIR|?y4D*e(lj%ekDOT{_lHT zG#pPpQC3k&=nN@n%j@;;NbG9iY5!}b_y2?SxUPTAN=Kzk2FD2HIh*5Q^i}BJy}0^c zv-2vssvIwsg+o{u|CKd&rnlue-S>Z|?OX|7D7dJB*;>82z%qr;=>7khMTSCVO?fS* z5QxL;z}_Ik7nscb|M7O$Pjxkk!tX#uppo*&AYpw47^tVZ~%TO`=sN8o{7DvQ~e`A&=#^wG~#Tl-$ z&(*ulodDFaIUp`CzV3|gJn}R6?4@u2+5WGCG{;#$30TILFv^>Q8nVt*4~@Z3CHl>E z|7Zc))88HjRHi5m)FV06_S9hfs!?}#@vDg=1}P}+tdzC;WItb=jl7J}jno*Q??By0 zcaW58hUhK!GVR;*`%+;fAXD>PhAOdF!8t!<<18ZiqjjPLGVqskx6@NvnTK3Fjdex= zWF)FQX9T>=!lnMmhk9V0RrB_o12xCO*-m=M8E!EiCKW%vUmvt1LCh+xG-T#X?LeS= zvf8xtEn6W61DS1N0b{?bVW#CDFXvSKrvF~BM(xk>mR5JO3n?3Eqin!SSO>yFQuh$T z#7_k8S7%0F;gX!mvz*~)Tu(R!WFZ5?0div9KOKF}IQ7NzQL{jvtxy2lMbP7=6C!Jv zSAS1zM}&@~>L-x+T)6r|H<*Xy1p5U=L8?^mAwzMdkNXB!EpN>KENd0{R+&bkC+X*Mx4}r^kN@$`ufoZSF8{FM4eb`Pv(9huPg)phz9%qX2dsU$tC#%VJ9r#&VATD#Ftf2BDOs=--t|zsE z$0yHnnX%$uxiIt^bw!RYGsAbmtpQtarmoR`tetaeIy2l|5^kHS5_U7uYF%76> zuyBkhPN^whNS$s6D!*^}e2;i!rraPH&)bI00_D)xTej@}$znwnk4^(F`W~-GZD@l! zJBsnlOK+GzdbX;uCC?Bfu8nrHbbX^`S0FL0qAbD{KIU;37(i!DUTAUK!u^tD8D!$}AsaU2j zBkACMrL`tZi`E54jX5}{;HHtE5gE83I5BNo*mATC3GebjVo$AT`3uyoc@hZ1C zS?#Ety4veBIa+B5h^V{@iX7dYeOQu8K9=vfN3RMJd_4^6pShtiV2|p@?e5((07@jh zvycF+U_CvNelS4sh3W`WLU2#`UU$qU@f{36yF6ZSBf;9MW!#yeM^->%%qLX5CJ+<^K zqs@ERZVN87dRyBPpY-h`$P94-E4a}H1&{^tj6#5?gy_04=dhibtz1vKn>hsXkU=Yg zx5wXziy_FsHIGAcMM+xDklW-bH8pw3j~&tOni(Xw?#YvjHpW|GDQNW;cqWD?&sKpR zgMk6zwW~$KKh&n}5t`F6?NHRd6243}%0Ig?%r%O?5ktvLavTh-OGc0{EFKxjE!)X#U9Sh# zaoU!8YErk9fM_ALlh4>7)i`e?iqcTEqs44BZQy>iumt+!Gs~_W>7sG#l4j7 z4PEES$qh@I_ZuQ_bo-`a^(q>w~;@oB6Y6eSM}r|ovgWAU5Q<6HNjGsV^w#9OV6Tj@l0h zz7ul}mNgcP&iEGdC+|`k#CVSA;Vvpau&=@I7-Y(bM7H|)V5~9n-{&^f1@XrB(2`9Y zn5PwJDp-szl6rqafZVavh~wF7C<$V*<;|pP8{v9H=qJV(&kt8CVB*nyptHlQTYaIQ zLB`~nTYHMsxl!HBExwtF^R&iu5}!k_)Kox&)&VO+AHAeUGiAUK0sg+(N6v!yJe(+` zp+~e=(IWM>JLDf??U$M(t3f_kNSnd|`;kSre@8E#2uWKGJF*?Lq8Li5pa)x%=nLr| ztbfzKa;KK$A_Jr5r_=O=-Y>HVRg33a6{Cu4y*EDJbobpEk>KbGk>52hWsE8I?$`W} zDVMrw(m{S_oau=5;fgrcS-y8U!&2bH#enUp-Pprmy_RPK`)*HMHm^Zsph# zuH>OFN7FA43*K!~J*G0EAOk{`Jw`tr zDtmvD8jGKU!_YM>XSXL~XRU~FC7^*vR`4Ql>l>>%-1@niUzugsVXm}G@e$02vb?a= z&FF<#7F!c{Jimk=E#5ot@}7Pd$Z?vok{8r&ctDYk4$;MDav9JM z1JD50V<8AjJ_rDPQE*+5G7~}^)`j>uqDZi?mXM>{YS&L_C9n0Zy@q z=lKY`O~f)ulE*=q$9(!m9h$@!So{@s<(;1+B-F*|QoDGar+kSrF8$rvSd7jB)_g12 znGpyo!gIW|@+>n|9@aNC>lREbO2=`389R?Yb4Q5Ad~f67_>E-{QFpk zf}wwr;Uy{w@X1Jh5AmC_gsv(MoH>ZyT_`B*8n+Nh@qJY8_voz+?`tI_Pzs801CPn` z?w4A*w>;1upR=L-n|1Bd`d4!Fxk0gubGc5fKGP3Xi~x7DzCINg=*hS`zwMPG%avgV zzW}3xlfJi2RFIOFQ;6rU3-65EsdXAy4G-#o1(zdda;q{k2@AQK1(}jS0p7N3WH89 zoZAm6d`N75jK=l(!`+h4&^dxfuiSL^mp|hP(7Ys3TC=R0v$L1UcA>{W|GQYUQ_luO zw(_8VLdtfTb4qUKMc2D3D)qEvk^4O;i-IJeHpS`XVqrwyuH3Xl2xOl?h5IiZw|Dcm zjzeiumG(+G<-;FHhilVo0Dq=`H`C+$4w~FVHUl#3`Tf;rwy48E9uoV;Z%Ko&VJlN_ z#7*W&^jAv`!?_SCxnT5+&*BHxk0YNLz^LWoGY=F^Fel@2y)}12xehIOvfw*X$V$t30B=?=63!&1l0b@F@Nz%d&#kw)Mv3Q12tM zUa$!IaFU*Yj2YI<@8B0c8Q3kh+3?L=oak`cnj>1uxA0&KgzyMw@hZ8g!Ftsf zOvAICzqdOF$QK_%ZdAjBriVwCP>_rY8T@?QF`L(B$P9lzp0B%OB&hp2cAVR2s;cV- zPou-D@PBk%>omxom9Uz%l)f~$&cb}!d)IVYy^qMXaP|p(Em3Utn8Zq}K5}we(M=-F zq|F1!qdLzhZUS+UIz@N>GiI z_d~?&O*}ae%wr8Cw?^&M2#AXx&`Yk?YG*Js3w)}O88GF0ElQb4T;I`t4HB>jB;{6+ zvXsg)2d~OV@>9^%RNexfDXu;d9=5mP4V_)7)!QKIqB#RGozFNLkn8eIGtc^WYqbhz zEpQSe66a~^PtwWn&J&nj7y-ymuM!#wL4Mxr#&3x$2-Z{IFGuCXF0&po)>iyDDQ~z^ z0@u2$;4>Mws>1uV*g(jhPx{h*Ldk5s^>Zbf?{u{o2}M#9oSCB|!Qsz+IaPO>+kH>z zrEQpPFBa(l_IgkF+tIc0^W5Gn3|nb1P1S~!zdm{R4{(3*XMJ(*1(NqvZz|m+d@-+F)t2-GW6~^{GlY8#Rr$C~Z#v zjodKQ)-4*pcO`%FU?GJ9$9VC}TIe_GZ%hIT-++x0OwJXfXfGu%sXrP}T1)Ra`A81< zEgN=j2~6^DTD0zw2*}=l9bz`v&Wp0<#X@RTm_iy7@f%V{TEm5$>Wc|6ZCu*bRkrsz~w`Ezm2MO(mL8(B6av* z2(w3#dHL0Gcp^_{`#Y|8hk=$qbwkPhGy1xU(ou*`^@O60zci zwJ~<`%e?F9yur$Z<_xMO6renj`(6LqM!hAA;ySZ#r+d!{f~ z)l@;!K=_A8;wSUgY0oK#Zp1WBM(`Lp8VC3X*Cg;)$|gvQ;60B@)8-rS3)$1OC%6h} zqysmyk24g`^~#Ae-9+`tQ5N+PM z;jIf*y6)exEE`1L7#D8r@Lwp z4HeR$@^V8i2o!X~cenro47v*c2&~dj;SnL3CSetKYF7=Xc{E zrT3aDtUZwt+vFXi!Ue3pHB4n8#`#}Dj!VYlx`hTo@6!{BwJ6dD$SvLXzgEDY^`KHh z&zV|8S4k#>A;sC{=j`(O3hpz`!?TsF=W2%{?`0(8nwBZ zcm8Pu{?cUG>~3I3@pF zaPrlqN8+H-XLLGHGezFP$dNTUnyY~}uyoVkJ-2oF-%{V}I`1%--)uk7?SxD8 zcD$~!@xhNEG-)J;z2mr~L6yJ!?sVmKYxU%Iid-L(n%aB2b#6WYf_M1tUEUE=-@;1$Rt=bAB;5;bb){h+!S)>lX=z?wr|38#7-rnO zew@EJebX>##HuitwmJG&Y-R7`0HB{P5D+DkG@Q6iWaauI!@w)QI=&COLB2ouH~Xfw z{WFxZ;zSQJ;sN}wmM+UHA}pPy6L$kFTKQc&E{Sdfgl^<}W?kszSNYcUcaN?0!6_=} z_c|)?6-(ieX)X?&MTKu8QN7)CT#;h3?LdlOXq?N?Z zGqX%mjfu8mmiGCckJ|DpQ99(QIburq&J9u)D^CjKPT1pV!?S7gNXoZmb-Q*=9#06m z+4k-uL9(I`4epf>fNaoSAIZzhiY=|DH{&upVc16w3mLdoS5sAtDU@lS>Vfa8=I+%% z`wN)bsz#274JkT-_lO5!gG&)KOEDDKa$()Zsc>N1@& zAwtFi{1dHKmaaReFnsoODZ#d%LTFc}{B@6w>z;M;65GakF9WLHBOAY%E#NmLSE0Kj zz8E%9yY`0f6@{&{cFxpp=>jRs$LFpekzY=Y*5+2WAFRx3zY8c=9uZo{rWzl3B@!;| z?8u^_;9x~OWb;jWZ#mFP@%g?cMvAg;Hyro+#(1UMAiA=Zfv@n<|v`YsCm%xz28Kfd?CHRr|LH=d0Hy0*uI@U z**PnCS7hFn*~nW)ZQ7gqg5FDA{w_FyNS==_5|Ji;25V>>%kv58PTEWQR`bS{3@KXh zwy8iNUx{~~!DZ=i^G*}w4?}V&{Slei6IhISNVrQO>=5y~Zl!+6oN}cC(EJ7^J;^^R z*Zw)Z-2Gs16D?1)oAcGdP5>x`#xG8sf_;!1?W#*Pi=V%Y-048sBi!@-X*1W*6a~V_KleYP~ELHYK7p zh=J7>OXGzcceM}!%54w_u~SqH-00ag0G|vq zH(6E7RxBDARxey!l@m|LKq*ppuRv`VYU|&xR2>v{Nekmjq3eySGqt~nzH_{dN-Z?D zys93yM7}htz`L%A_MChYj*)3ks*ZB$BFNW8^t&Q$&{+>&AC>_m{nv5?b*2Ww!Utysm!!8F^^=KJFf9q^Wl zH@g9v6=kPsLD(muJ}%b#P*cq-vn6Bpf$s()q6f_M8<|T(?sB4p_EmrOQ;a7T{DV{9 zprbPi>mf_7EP~^DPoGhK_Hn9hqhfbDDg0E)3}@~Wx=%y9Oc!gUU)`R$WS~KT?flK| z`J;?cpl%)aBs3RnYvkvO-wMY!VGnb3`3ScywW7(c z)3T5OY zWWf6Op5jXtH|{{^l3jIg@%oVl>_w@sd3C=V;pPQD%E2)NhKEaRIhGlbU0*EmgNHj& z+u)mrOtQ;|Ll|)FmZZ*r0MC+|dtY=(bo06#?0)-Z+okd(ZjyV7>Z&xsz#M29n+9~; z=1RZ5_KH+HYa>mYS`lk^D4&)ni!{2ZAZ~PE?Lp0xh!&I zE1pox^leD*`?gG%=j28L+_2Y759lZJCuQRG(WK#v7bZ;^%3!xgjSx63a}Cq zAZY;+`WFyWW0tq=c-v6}=kN3iFjO7J+&{|FFY}1Y-@>c0vUJ5B8cK~sTD93d!4Qf8 zI<@Uij7BN*UquZru^(a1-~rV3TQQzXvX)7?IO9{w&3VaBJy8V)64OxMj@TS6V1jxn z|J_ebaj_%XM1}Mm>4>0A9y)sbRDlE0vz|!tizq74i&Z-#K!;o_bU~_7I&X@j9)C+ z;*gJu`f@VUK96)UyzaN8Gy~)r&f40zG0b1IHd~>aktuba9ir$k&g_vl?R&P$yKw3O z=c0&2;)1q;>~V?E{csSpuz|Lh3&fJ|EMZ_19Q2up@eK<}xxwj9$g3US_?_V&F~IF; z`Qg`k;ye=}X&?XdV(LKhsS;?nCS^6^?Thi0I2vHiQN9rY5wPOWaua>(JE)j+zT_kM zK@$1V>_t?R%52c0s(2F-G`(5NJf2SRXr?cj6)jWjJP;E}IFtMr8WznQr>i>-e-E{J z{h99zB*zct10BmosMV!fg3oHcc2g(#r9FR8AQHWSMztq{9%=ODsq$6wDS}t;v04ra zP2X6tyMGtJZ4hwr`0t)f`QB{zIRq{%tn+aK{Ph{TF#X-=-yG~+;ww_}`ZQ;_mK@ng zPtx^bIRs2a2MjMUY6@1>`ljLYwDYX&`zQ=>j8K{826*TpKIi)JJ{~g;ALbok!&;5y zFh?(|k^g-YEjFxGS+MZMnQu&6rr1rQ^JKOX-c|=Pu$}tMT8{9e^LQFYQzL4jGO-$@ zs`9?E(MZ~XBznP`k{6Qx9yI%2TCzzp-279{R72V9A~VKjh4gLBB~!c7hkolOf1GDs z5qALiZ8+5Pg5kg7vR?jsRG@!vTQt!3(Z)cX;A=hT^&MIrlU!p3nSW~?LfvNr=-s_m zuW{mIH(u|Ln{e*Gnmb4a)KR$8^n46a1A$1QX`8kGH+pszo{*?h?-6UhB(|8Y1pN$1 zB6bbQo1c8bpLpDQB%eXPRpm{@WBJ{;*ra~4y=ed%+t;`_PQ9I>dQU z+B!y*${RS|fpwn<_qsQD9}=$~JTf$VkTdI#zS9)6s*8EMin^FSu{oms&S zmpOj&i+GB?B5>=09qWAbTRD1wxqQXOfEJ)F!Jerx18l>C`ex?Q!uE71Ke=*W`h(*f zALHAWc|pwl_K4%bzj-canZ73*LZMVD=^w1>I5)pJy}nNxiN+;e3ip|}MVWz9gK;PV zZ-Pr=YVAm^w_IECcJ9nl@^V%pH=ksYtX;>h;lX| z*H`CVH*mFc<9bWTASKpse4g7t$SD*!N?^J6l~%ncfi(4af{V$D$BJ5=CURbBC%7NC zJ>k?8P<7~mU5oWdmFSv%Ttj5Lr+IZ2_pSAmVB${dIL<7&frCPRgzOKJswhz!DC^49 z=KfD+H22K-HILz&z)1ug(%ZEK!!!TFq^NP!IraXsT>o+p2dKw&@&5l)VCx@%O!R*h zWdFCrYH#>zY+SdowDrI0V((w3vO+J0w*(%Y{%)e#jh`)557T(E{;OP@{d31sHKix9 zw5wPkdNfUpv#kr$fBl*N;Tom?%m3Z~SYC7e^*>Xyk^cz6m3tKZ2Q_>6|F^vMuLC1# zb&x+a5>{lg+<>uIrN}qTuo!z4Cw)UV6s;k_m5fRxGP5a7lY&TOG$UqG6&AiLzU_kg z*!V5VraJOj5lVUlK`iW|oruXg)m*FX@W!?@0@u&gwc2j)!ZQ=I^HR=kZ<{*eZ23J~ zTvrpnQ`nUMR|lj z`XtnLA8%f;X&t{;$UK7#4lvj?oE-@=rj4|gGN%nMPoq8GP0L!+=u zcsZ1{r+nT1!rw^-O3NWer$p0|kH&!Z@{QI9t-qn;<(A6lmKm%uuG1q933^yOo-sGI zn&G8<1}dB@kY$>7i;49aqr9>U_H`{g!z!-3ew83cKt8aMTsV;2xz`)y6zF##Yyuzs zJI7`e%_(*$4@H0leJA%`lNL5DXc8=g=&oWIWBV?R- z*fZ22z1M7`W_RJbR|-@9Glk$DeMou>+MY*AmPQNvw~ca0^Tay@?2%7I)Rqv6^fZ_J z=#>0%{A&3oDqj>Kl1i6nxm(SQpG0(pZPAN(@Z!BpZMt`@xQ21N=OMA`b|V^l!x}+C zM@O#foB&XHb!D{AX~=96@hupxBi}$-#<`cU3NL|20(J8LM9mT}idBc_RICcdK3>H$ z$1VMnnC&LSv_Tq?=nWkE^M6~liKvd`VJcE{9%UK;{D(awL|*0nxbqzzcV_0Ke#!EP zoAwbB#uC%_9XSl8@8MYK0={Yvrx#!Sd(xaoecvL7ku{@&G|Ybxw3{PP)o%-Yk%I#R z|C5bvYox<#vnMj}i|nM+9m(-a2{lQc#g>k(EMk;!T}PB5aB=+GvK6s%QpHsIWeH=c z7}$;}~VxzBr#=h5E>Z9*C@Le(d=Aa0h3MswXVjdb06Q)LwPOi)gLRGCJhkG*5W!3z+Y@m5KH)^VpTa(2Qu z5!%-X348|k{bdHY*CVV8G0Na~+njuhB}KswDI6uPgHtq0Rh&ZFiO;$e=mgFZvx}_S zp4{(yB3!ebTz0oKTdI-i{)TjS^9B66xE$CyB$<74CuiA@Blcx*IoIP7t}?446GuGA zHS%tDq=)#;BeM<(-bJoCys}7dWB7D$genI(oQ%$?(~K`3YBA-Ko*VXAOEX_A2`DkHz&0$@tO?Av?Ky<%2yyYhNr6jb^S}76 z)%S9KX=9YEg5@Mi|8e%x`ckXw=J9n}QDh=z4nbu@y8=R+h4zSaUWaG?hr?%B=H{>J z(^>%w$ENBxHspgYyd}7X3zTO%jn_)e2C5VNh8rO#v7aI@k7rxQw`wqghTLD2g#)CA zg23wf*l#rG>&L64KTo%pG1L##ZWesVbojWT5el_LtVLPZ%jO#=YZg9`c&&$Uz%~( zmu*vki?gD8tGhu_?wazlOFfp&8M^_P zg|+?bBGVVC%URpOFFmvetqt5reQZrxEkL)@DJESbKGDFb%pi-63dRVS3i1N%Y1Tn@ z5sP7p8#dZ6#%nSQ=zuvtxe2EH$36|)nN_#2E}yE4kIA_n`gY6u-zd8YrCG7cDTQK> zji5x*8~RMg<@;XuSSOz(e|2g`77olGtX73 z>4+N8;=-_AX_{y%o_RD401gM~dgKp)L8l10?%%l9ozM7ZwXTwinv`A=7T$1K2L;EY zYmGteBF2iNuIBWD%NgseGVRakg}4Ad9bO0Eu<`b9jao~L07I$dQOwuFS@bDmpAw0v zCcMP1s*Y^qZ!jL^`9`Ta1^!3sHP+CRt#r#8&R-_+4y6cghGrp;At7q_gMdR6V{BO$ zm83O4cneBwK>^J&CZp)5pR-nDOVyvI&ruVtO``T{@|?L$JGwY6X9gXBhpZ+N(j8)_ zzYQTkOx(3!Kk~Xyz=pP(vBa5wIiGX!!h&?F$T=X)6jwS#J8_@L0h~ z4>8n)>4w=udnyrMdviKoL(qnWLEiuovu=4dBlr-hvn0Ae%$&`pHAAL+@|&9r}3Pp)m9Qk>o>=zZIcd4v-UW+AEW#zD=xtv z*$cKv%(K6Ia}Sui>>5M(tZuY$sJjilOW#k$8=XxEy2#N?8$(tCLHG(S`u8oNTrvRJ zqQRCi8@gFm0D=`ecsoyobzVdn%c^@N{S(o>uq`VN8>G!0O_%D8{(ve~<|5Qoaj907 zCO$GgS^4GK8H+bi{c!tU{t%M}j z?`|`oyp+3-w+)x{W_;AVpbT-|#T*6>wM0FIj6(am(UFLrO5|Do?b0yrsiDVDy6cSu z6K<>~1$9JG{@G*O;VoIer#$;gD{5&;a*0URuFW&=lIH8s?mnL90c94Zh12SCxg^)?Lm9V9Ch61+q^59fa zD#;3iV1|Z-=aHm*&}~ce$@MWor!p&}RI!VuH_MQ>;z*95px8ns{<_&Nl zm*-EldBPIl=Qrc!RYdDiotLe7!p@MwMM<-8%8UCe95~A<)^43_Rw6dOz=B*FH}DXATJE=_FC*~S4?C%iXTm~4J4*(zC!nLZp@ zrvOwRij({uRzV9p>8+vt&L5RsQ;-Jb22$qK#JU&p%BbVTAM1(FhnD<}KeMZF4mU;i z$Lt@Uvm*9Iv^`edKi^2<{c^(QDR7c_+lTsf^074IlDW9Z+ARdGAy}c+oJU44BaGoe zdA?n3J9a)PG_ub|-1(qJWa#x+0ADi+9?N_l9t+x0NzALgt1k?Z+^t)W-(wVI8qiB9%(D za(y*n-@V+6OTr1sYqy8J9Nw#cMP-&##SJoJZ5I`-H1ajOpyX6lro~lXY#a2sN+z6C zCuk%38+nkdR&;6}$$2bQ)cD>JC@?}mjlqsJ!^0Pvi7`Nu8+G-&M<|(H09y;xHERs({)WCw9Zpdvs!b-B9F&|^PhcPHAmI>AoZRw2dgb*A zw?%P=t_;auig@?=9&3#h4qW_J419x@HYGMhW@9(`CyCtM%a`Hm5~l2`MzuSKjOhy? zcjCkg7It0>k?o9~Yy197aw{`_=Jiv7Ilq=$lKnI8ODTHPzF-k&D?~9n@{ubQOkSQC zIl(J^-`H#R>B>mVlbpBlrJIIYy^pnTAj0T?q&lM8}kDO(Z^5WnjnFPUmJ zTqz(2oq=jmlBB}|C9MsVI!|AKx3uHWv>gq0`e6LX1I5}49FuGH`UgO#5Hq^DLz^y> z5_@ebWl!-mEra?zNhurJqJ?;7m5L4YoN1}7jqX6KZ@6biF|H_}1P%>N5Q%v=hf8S> zqa?shoYza7U}2^WjJ;@Zq<6@uA!35!!uiO92b5rAp#vq@vU6?|N8^m~hPwR>&?@t` zh(>V?SGqS6R%uHpyr!J^tazNdkh+8pL&oAfe{rm#UV65|btJ*{UFA+h9Oy<9!>Tt_ z!k%g-{5+CxSd+G2mC?JdmL9myt+C#fJ#H6)W$?LRCj4%yL_NO_ky3l2UrI@fG5>+i z(f`n9WmlL@O*9cO?GIxnN#heBghSWL>Iwc(V{q4*&8 zXnw7Q#1u}MQccXOLb0{+3L@yT@w=3lJY-Cik<4u{PUqo*PyQ}OCJq7GLEhc%fh{0M zkJbxPRriZ7&(>!3h80x%$J_QE-1q1SjKlIvoUwPIgG%?HW?ovsG}*Kto^6G~rrxl7 z&Ge6O%JCj`(QIe_VV-})^aL|`zUk%f%H69GBo=5)0r}RKC$M2*Do`UH7~}0y2cMTz#rI;$QXF@Ng0mnW)CxCb zWjb@6N5^V%APN3A(1j!^x=Wg!%->X_vnhKhc?1VH!>YiSm`cD=4b%gkbp@}Qg(pVJ z4h=Q#E5Y+|bIeL&d7xk{55J@hNeNF|XKAY|LC^?c;2=#`=pgoadVx-uG#f_v8~CK5 zh6XtRye=EOvlf!5B;(76BE;p?TVWO~7>v=X|;2&4O&tnw)rtPRBdZ)HO~lT+h3ujYv- zsy%5!x5CO^9?|V8OdllbcO9XY26GU<0d$>vLxPBHe_4a&=Lu1*>;TQm`*U!P=`I_tT?Ke7byuTH

|h7VpvhfX?S|&DxA0k6`4RVOYh>z{UIN_Q&Mh@4WNhs5())*A z=`hw;zXDRlLfkD{uWPrHZC~s$S-DLQbm%!idT@Qi_y&2knQ*$Ujp5hc{&=Cs9`Y{I zneT&;P$B+20$|U^_Fe{4Lx}(EFw4=V$?+1pB$i3Te2v$c8$*LFCSi>~*(W)fYSC9b z`KixcH89}Q4rotaAvvGuK(Hw%o`C@6^~HIC^+yikAAzNr1rk`?)J0ZP7&;kXPGwqj z2u&98byhS{Zwvm{zfgavLX{c5Q7gI`3cUir@1V~x*KIyK05s6_SV6(vp*W9Q!?Pqu ztw5Zli_7QvF@cdJ?h^C6kgM5^a@L$9hNVi*N$~KrG3nN$nHy6 zqLGAIKic>u1P74NoB8t z`a`_+XyP&i0o?qcJ-1njMy@WNyxi+_fNme`wWVVkzH9Wk>~%J5S&*A4fR=Shb*3ZI1(jeFeo{+nt?RD@`*PF-(4q3Y;|4W3tqXD)6yJ zz<{}&LfV$^ykRT*lRjP51r4=>FB&E)ztui#i<+{;9OQn#dYFEJ`Gyrq#nYRfEitkv zt>Gkjr_2uKgus*k?o0Gyyd3w8>5i5c2DUix@glA z2?Ekz-BM(3vN@vb_V4wRNv!Y1!ECQZ@rd%Gqtyk-Hlk8TthvEgU6J>flwq?#@`Yfi zhcsfMgl2|{;0SZyMK@g%-h(yRxEZl2BqmORx$s;Pk5`A7{MKl#DT04(&g|xvLbv|J>}pF zRkka-@s?8`h~0+U!Krha8yUyb`7JnFXM#3`U|>>VFZaLHOJM|GJ>@Cw9cKnP>|LN6 zS!e<{d!>BOiXs4rH-X@8Nh4!6lfQ1AU5C?8uIVB>6Q7w(3{Y* zGQ8T2uN;klo1rQ2oQw5ZRiB7G=?(V9+W99v{h6}IG#4tVH7}*T)vQ(o8m}(oXfz!n{CRe?Hhtsij?4MQm69ttU}!$8>*iP#7Jn+xjFm7kGm#VQIC zu+>W0uqgsa+8WPnv2!CPnFH16xVAF|h=+3+&fw9(fV@xB?RPkt_+K(tNl5Op=%}HB z41?xbbQ~Cx!v8qri{z*iK3?cAT|)am3h9d|qwIEuv09F~R23##iYfJ&a5*?iYhT7l zE`fa){v>wr7>}Ze&1uXyo&|)cO`T@y9l1!eIR-mraNm|?@a{?-)k_F?bn%jMWv9Uh zQnG%|)&DV6K6@Dh@a6SW0ysLoO|*R9I9=_j=@UI*nPRL}nxdBRfpt>8lS3wJd| zGaoqKGbQ2~VXcl7PKV2T{?&Bq7l}wxKwZg@C!F=fezaxs+1&(DrLH7o$sBY5w{eF8^h0NI61%sMH zIRo>$SAg$Q%tfm%D%T1Kh^CNwiq&~%PiHn%I`vVPtY7B48)Uk5ZP6U2$J?+moP(vcI%G1qIrH zD1YA^jryqkv^zA#RP4EoStHNy7g7|5Od5%l*#?Rds2nD+4_zA43@Gx%M6(AX2f^tOUf+XKBw26 zoR`h+4gXZCwTj@vCdo6(Wh7f)-=##(NlED7C{wv)w!pI^!`M`2JG=EdT=Zwin~DLF z7x;r#T#|Zwa)M$LKCD!BzWeuW9aCIQj}Hoq87QYg?(({z&&nz@E4cFCW)7itla0b= z+CF-{?W&s5=oWXMP{rrEawr7EWO~?L=J0}hiHpTBBQ~z}W<%2bZ#9n^t7Us4);{i? zRtEM16GOw;^#azHrb!M`o<-f&ss^jlZJg9In4t z71C)!Fjx*P@^-;)U1}9x05$9&j)!I?d14`sIC`#JXQf2R*X}6Ups%()s6O%t*ZfY6 z`q?yZ92iW){s2u|=oa1X)VJqhma7Tn!EKyY_=cMUFqMjLl`r*U_tlTYtocWS1l zYHEfDcmS%qy7xJ~_u1>LC86}iTWFZ!0}^f2x}wRH-Jcz{J>-Awu!&ZbN9rdXZ67yG z27RfO-q1=p27RKQnys~pcj%4RtPE} zepMEj)$QMzyTB?lhC&|aJTpyc+=LTqyle@*aaHD=DZ$r2!0H;cTf1bxyenx`$us2+ zEnokBf5i(Z_D&lf$lbF8c%UaNukV_FK{v@hra{%;n;3d!@Z67$7O3lgn(i!h83fn>_Ob01kx10{XiQ z%ocO1a?9*-?K{~+SlXG#1^&c=aEs?~41QH1>}p=%@6cVIduf*>`*3xp_oG6j%Wg?WChG9QeawjaOW)V$1SSH)q&02-)KlrUb&?2fV*L3H-s5*A2VX8?gTL9!61(Qt3h%MTYnWRV`eU#Gt34bL{zv%bu469j68^2lkT!-+ z5I*3ax~WsBiofAU;QMZG=CTvtS}TdLc+gziG6P zkoF&m|FN%u!K(iotX-cFn4?vwl|-H)>V%w6WU{2jp%SR(;u7&m_r|$6(M20>=z9{Y z+hw8!UooA4oGoMf{X1c}A8p!oK@QyR(zkT-@x+p~sFW1Z71Qc9rGi9pd)8UpujOY( z$pql#6xB(Oem8$jOvtCj&QI8CRr&riwv2QOv4Yky%*n!qx1 z&kIWepviV^8({00?{)|^b?hZq>lkg-CymyLK&vn>DDEU+sCH&zk9pB-BY zEl-5;PZ?O$wwvdFY+#D*>tGq!$<_-pj!COteJUUDL)?Bs-KuBH`uh{_w6T*(oiR{c zlxGj0Kvsp*P~rN#Oy3Uj(kz3jR{+y>z}J#(M?7NG6n}aS`-9zt$phXyF}@`iy0uWc z@}EH9tTniLIiqB#{Pbi@pvi%v0HqdEk#*O6rQi}P#P>4)(jnV2Xt*-Y$487vaJX0E zh}}E38tT}oGBJ{JXX%$l;Ug3@=qnl+IWgi3>k>ak{U6zvFp=Yy>Ir}RpG%cVbb$k2 zQJdvEwu$m$mluyk{^U=5iUXZt3g(;%5SIVMk^XwU$a`B%BWS0YX!<`_DWCeyy*$$K zggyMte2(@AeQp#etNq7E@EM}9;F+P@VZ<%_J5ygutNe7p%5yXLZg~hbp%n~2TOUAbsb6?$F z-2Msc$mQSMRKas#$aZ#1GuYTL(AJy^)Lei&8K~$x9Kbg`=b~5>ObHQa1b?2%-G4PA zJst)vfl0%|;)pyy&Cg*UQ_h}%Ru2zfq%2|&SYyLuE87OS|S!TclG zf(skh!YT4Dhc=IypX_G>m0)cASYE%`cMVEK-@2Q%vQ2T{NWw6)H{bsxl%lRTn*tN0 zB$=}FPwf`|ouB=>NH4w>=Si>FN#r`ad$fh=bITA@U1N$~#aRZ1(LL)T)0c|;-KTYG z$R*iPkX2Wwlz~QVXPI}BRumNaq<1%F@jU0X=4Ak>7Q(OlX*;gYj*wy~1C z(VB|rh5n`5paO7WGuB~k<}ba)-J5wm>-<>#=1DjiKR|U2wwYb2>0f})WYJ^6&xQLQ zZH*P#%sv)>x|h#4f4i4LISYc3#V2Y#(AZ#k12~@fG*f-27|FNk186`ytQQ8n+kW6) ziZMyvAI}D*Bj)|v>?IZDSRg^}t?^cb8GHlgp9ZXpF_2ICp8agCRo}g`74*R@kDBrb zR*sps4qOwu(zMq#;UM8yGD-6nL37!w3g3f$T~|^{-{UU*fH@Ld^{KD```wNmplCpD zN=nx_3dNUj@dTcBYbL%Vsy#LTh~9J;vi1c^Sb#Idp!BB*r9M~wi>P+~X*sG*U6)F2 z=j>$BY;U3L-eSC6{E+A?jhdyTp$Gnw;mTeO#$6fE`vY8e$ra_kv{$+GgFAvFc+ zh609r`V=J5=gmA0!{uy~+hZB+)}{u|l*Ce?f}TE&od z+y(Lg^3e^xdj~iAJEXO5_e)}(%obAxK=9(=OmM50QIdqE=KDF?$eJtu+Yv@I!lq(NC@aMVwVcrA>5e`nSdDf>8Bw29Nc2 zFdKXqtj?^8Ivz$Hem`Y)gB147h$)_~S+NII5}0QEwwo8!2IN~NpQU>xKmSLaAS#l)nS? zey5YIPwIeCG{pPEuOtm+>e(2d-2W?5N$CfL8e&Wv`jALMeo^%Df$Zg@`#AXcmH;OI zR=@C-f_mWJttA&EQzBUZ14Kos`~!$G)?-}Wryn`pI(_Ty3d71_c%%V)y7(ww*Z+9B z&{)PZv3y@P8RsPKzWmQ_>6M;-hn%CKr&Hn;PyY7Z&h~{-p%erEoCRHf6P@3>TIY$K z`a4TwbZ!$&GRf61M>B^ZZ{Jz=GU_qvwkC#E?XBO?(ItZTZF`9 z&>6ktK%=3r!u^GW3Pquq>Dg(trFH*F2{qTs4<0`oM7(UBxpCXD1UaAn5Oo$-|Bt$> z6|c*0a7xf{H-#ZY(UpCUH+yG(*Uj-y;IW3WsGS$HTG<`GcrHTd%}q_{Y3CP6JQNff zTiPVwa)sZ&85;BpyaJn({xUOxg_3n)a`I2n7<}HpMPqK{8jSxPc{K1v1xFq)#TXiT zdpm;d_qL-r_Qg0@So&54CG(o?F`@3J)aDPn*3O)z<%seJ9qdQB_=s0p7=BgxhDL#I zE~mbl37R1LFyPXlit*Y&XsR`bZyR)~IdN#Slxm)E+jIQ!pDkb1>&&&0_MZ&(4()p9 zwh>%|En3MymOm&^9mziw{uZPSA}|S2R*^{p+zYj2L;8WTK052l@_awHRF?H0FO|I} zi|YV}_$xK&M4heg&SX`_7MF`_-H-Mc$PCH`Yx*yxQw6scWd4M*(z$BhS@7w>4r17s zWogL(;r_TAbu|=&s@UvkaZ9)+9~_mRg$-K4sw}@5Q57jH5@))a(#lKS`xB}OSI+ac z=7qLDIZ1GPvhR-3GPj)*J#_D@IlgznN-TJ5NTcih?`u1f9~9mX`Tr7WaTvQV4%mGI z!Y%L8&yWYtyt``RPfG&arfh0u3aT8>$Fsdg+(q$O(W&9#O{-yuV$E3?7H^* zEzAj?sN08NN4lLg#^>PG;W^g88v&WO+XLEt=dqi!p|0(r60Uh5nZ+~J&!l$0UBlz- z*}PJ#Piv`YegifIhPPY97iRZbDQLzjI^dRsvyrtAHb8*q^VkX6&l#njEB`&$On`A| z>smFe1s_AlJS{{ueM)rhC~#T3WG;+7LI}8To70nfH^CwPi3&1uLjOo#*~5`J3AsT# zZ#^@iYKgdZXWQFtZ@0D||2}45d?A9`4O?-$PI5P!y;F(yKLima8O`Hg&6It-D%NWw zHxa+X|KO%T>H<%OMhU0>y)whhExwp}V7%fI=;Sqbl|A?m-xfJ|*l+E<$={v)30`)w ztP`~FRd*HI9*MV5biA;^mIC>*79&T^o8(L9+30ZTtSFol- z|4%rt%&qjPUp?rARJ-(lU0q#zh;cwvK9_xQGJz$#_X09xlePYsTe6R3jNscY-#Vh) zUI|6~!!1RhyzH)88`@qI?ULs$KXTac`oX<;>c0X*aY(At4~T@$|KuCrkj|g(D1~jn zm#6dtm^-H9@6GM$z8#69;t~HVKiO*AZ?xF#W5K!=Jkrf@pChphpP(l2S8}zrBD6hs z*|Luxq&n*T!%(YsR-sZ#;LkD8(C-Oa6Dm%-?RcI2clD9S6iQeVa|V2y>YLv!g5Gw= zoaBhtT~&yRAL4G=;F(GoHY4e4)-_t+Jo7Qs+N<=4ogc&ql84MrArJKvR zLMdd053*TVwMuxc>BxIUm@&!k`W#GWZ_8~TnyG;Os2g3t7>~sH>+HVtq7t|Fp^|G` zFl!+j3cBveZi%ku1{Osc{$fE*d!ZF!a5QeTMI|ZNr6uM`ZUw1|3`V1GsjHB;CLgh( zU%Umg^<9HZO|vpH_fy>W+>1U;(BLQ5D{1}c%R~7nk3Luur~|vU_+GgZAp%$yjIzr_ zFZ**GQ1m2wjsVY8rG;ZJy2=cyF|d;$$ecnT+R`pm%yebCP{)nXd>~|D^d=mLb_hJu zhBhI7CI(k2f5vRUQn^U1IvNf_@;w?}^yHdp13U3|9i`R;<&=nto#)-H6)wCTF7Ax- z!^xXne*dh!MP^lyr$;u6ZFeHCdwJrV3d1-S`Uo#k!!u?T`-61P#l-AJxK7iXgJ1 zrPYn|!BDBI(C`>6@F~l!YYJws&hN$lUHB{a-r$OPWkzSySu`KP)1JeJ=UHWyY8xs0 zbKcl@Ht0#6-u`|-i!*J*V1V<(jAQwE_Yb>IopitziOlOr&KCJj%@qcX*hn@**H$)( zH{80VMqUp_o}cwv!C`MW9{7nnW1POv=B9?Bw9iGtn-i^vI8$|ey6u?3Fs%LgZ*7sA zn~Rto0J@tWwKF)Nz%=V1gSo@x4OTD6Jty|6$o0sjuuOB=^9$=-0?#qC$yN&O?;yX& z2S#tDF{voL;3~t$4VT5eRyYbd;z@*Ay^g>TW^!g)*+cRN`RwA4RKEj$9)x;8Jbyj{ zRwuzmp~Ap&K&`!pj=F_e3iXX%E45r7%n|8n&;59lp}`BkD!^M#Vveye)`ss5<;D6J*)eRi@BK7W9*|oKd=7vzJsBp zV?sOz8jl?k3xoH|1-_4*V?V8dH-198ngDOIgm?@WOCb69C4rj((f>2eVjR=jOA-oKa+U_ zBszQ8D}fA(OYThy@2tlI^fUF(9(jmRo7*CjGxPV{G%!*S9lN|qK2Rvs)`c!t(2ul{ zTB*V7TqiYWGhj#7`p8OYibtm z!yccEvzM~&{;1f(!4^2_hs(=uN+PhB8V2lktYK^?1{P-yG=1pNuUzeW-kLN+GE*Wx z?IYR_OTap6R3Wl47TJ4MBEzsYI(1dM4HoMlkzVtZ)5z<|%;%yTyVAQmGmEq9dquWF zNp3LYa#7TPrdL!Pan*!Qj4`ucVkxP^ko0bDADLx@W)?#+0B`~( zs=wm4yFDSPj^X$>$^?-DuW72;$NGiPR#!XWo^;Z3%*h9mL5szG<7wz-bF>8ex+g!} z6|N>{&#AMyRXa_7={Pj3h$j#6e%k;YM)Gv>PY4>}B?)Ku=~ca&S&0c4N_87hD1fgu zHfXSQ+Gyc)+3F!iN_bA(?>V0r@Gp=y@fH&HF7Uv1 z81e;?D*9AaR>B?ahhNLI?ALS3k3tfxfti3)R*V%<;ooKx5U0gzSe6I}vH^FN3#k!8CeAD0QN(CjDaV2`pO zcF^JFx=@?(8@7BMRiDxIm&WG=eMLD>rrvC?X?f z%^z&uC#^&ZhQ(7w{TTZk$$E&29WCfboFFn$^!s@#nRShhf>@Z-Zh22=95C#CVFE476-mtI_Bki|2rmH2JgqyGEjt?8s{w<(7A4Ef8u9FGh+SdSAE9W1rd* zMR19Pgt=`|&sRQe=v-JFC9Ke2!Q_#3zeCAkUNq;gz z_%lF7uEEOQGM$ZHcB0mdIVm1}Kbx5v7u1!rt&>Rq5$L_%JvbV@!S2D_D0lH|70{=9 z31fn4i!_y@^YG1JOK#Cum2y|<;et=b+G_@UiVy^!BEF{rBEVZ^b#wtPl;3uk&*TZo zJMqd^PIe-Wz#YDqFxh+KMe&HmG(iS2tedk?8{3d?zPD5(Toyb5ZWBr&_nA11z zS!6gQU_6&(KtG{47LHocZvbdluS)Qq{bRr{EtL}R#g-BJC%&!){Z9;v zFi@-XvXCJ3q_eOsipa5FkJ%x}()l3w4U++BMXpCAV6-S}!H<4F0T&KDi3sSNj)J5w zG8hgM=yx?Wwexx?vuWF5YDr{Zd=62%^Q)5qUl?8-`P!~nZS%F_msq~K%6oRpA$xF= zFzK>-$6LMju%QG_Riu#46UJz++jm_6d7AZT!#-ruK}Rk5_)5X#EG44!?MplD-2^uw zq)hwkhoWPN_3MOkg%3A6KVHg{aPLYm_US-#!>A_Wf~p`uvAKT1$(p}-fMd?g2Yj?t zhy*ijwT!PA3Q`nhuTB?Mlnx|IZSSnX z&uh1XIieb-3w=a!)B6cx;AqXSgs-OKRBpmWGvaxXd+Taixw*1=)Np7v5{|_CIWFRz zGnA@se?C5BjkEm?xS-bQZ~N%YaKU{yp%F&vkfW_ z$Nc2|#fNEDGP&&Hhv2lkjO<;;*IW((n(8afRoOLwD0Z+EIC+~oN@CidZE(`jbDqfs z<&BI_meIjmMcek1&$70!$iHT}n(W?0zE zk3z0M7Wj-u0ZppxI_aVCOoq+$)6Rp7K!NeBB}(|!9>&c?(e4A?^(;I0jN}ru&R0g+ zvD1LxvHI#&%cl7g_Puy3R8xrj%fqe<|SyN=bdH9|Xg;5P6wTS_ANh}kE&3!vzw%@TGBd(3v{ z?v@iS_mwg=3<6dMujuNxNt36HA81N+p_?0wQtFVAdjwB{icUKFC$W1&6LrG!t_niU zRCcl$-pUSl!)3c44R-=uy*4MGp}b5LQ5z&?x&>1fKJ1dtcMA^8@qRgqq7P|@Nr1Po zH6}bgm?;&=up_i&V@~o!T8iyFq~1Rc_aQ&pPAq^q_&9;8l<1hW@uTzeKHbeX-eMe7d-bJ0GK444;v=UKKORWV_1X=UASJNTs{g{7xf8|j(96_GbuP`EVE)X8Z2 zUD)%w5Pkybi@M&i_pr>X(PD6^La5_IC=5*V(k2ZH`Q6BSEEW*GdmM7kPWIdHvwWlY ztOPxhJt^Ko<29He%Le|MMGj!2>Uca_gCh4###GrD zN1L!usEV>xPbOli3NEpS?_1Kh6Z9Tmv4J#nnqN%{hRGLk^?qQo$FV(jGua8gVxyhu z6MJ!9dnQxE)3KPVIE$NQ9Zsl7^+zCM^(pKdNI^5pMNRS~{uzmt-GUu} z5HGFSO1up9+-&-u!-gf>MK2DnXe`Tr_UZfVDZDgD5qF9k*#wBHo7oOsJ0G5Zc-|5c zDtFVU2m9bTku>jvR#I)~eD>sZVo zI()E%+P-4XNAjzHdZ9g={zG3{auPQljsffLWIwF>ir!)O+RqLwt*VawsI)tLyqL7I zQf|C%3>-LoUlgsI+ee`SROx^J?C-k(RZ+ml-YxofG@mg;gwM%{f(#^?R1!!-dU>%0 ziPK$6u&j8%Q{YCSho^PJxt)uY#!EFfpH`gsw2!La)D^0sVA-AeGEcVd2wM=>X~V6< zmcy-E#cEf3F3hBygI}6cbe-*t9xTRPs6FWjP+Q^{GSf+o9*m%qe1C3-?^;WDKa(@& zkM3ZF5Gaa3a|IArUgOjb&JsKBJer<)Q0Y;@m>S*;3`X^0wyRctD6$S=u7Wek&EcCl z`%(A1!_4dP23ELgxd^23Vv}~M1H?fBY#++ z`fYT4sh<8#OW_Cg0{LdeS1RD`O(DYn+1vY#8uIwW*wfn{8`52v^%;h)4VsQA)92%E z48tdWYB-mHE!T(9%J>BXRadO(&hUF7>?sV>8Nz%&6Uq0OU9C!L1H|mKc&=+!(dL%O zpsBGEfoop$Dnj5|XgAx#Gq@IvX~Tykl_yQaB`eqT?3Go-B2ZR+wfA9M!v8YsKio`S z8(3f$!{{-(bmc?cwQ(l7qy9g7bdU=Nf0>_us&jTAto~9v|MCtwKydsowLNYK{QqD% z;Kw2O5&6GRA}GH_vH$hIe}2M!&wu|f!sHKq0#!2^`0u9&&f)$4{NaD>fBNd4O%6UW zl(suY7!E{HpZ)vUQ#Gy7A6B&wD_?^R_)(X%uT{cPiL{XnQ5ID8JFWlzGjV?13}2oL zR|*F@6h_g18;XR5|DU|ni&*SP#z3`3{$D5nYKr@5DvOY3{$NtcRJgd>?P-#k^BLc_ zFsS+M&P!tQ#YNX8$Aym^m?uZ>`FR*cVXl@=k4}VRMO6Bj#XU=D)pDF2Fwq{DrgPgF zst6V0{7ppUyfY$+*LE^bR zKN7E45LT6OT7+L?;dzf$*qGGomU^9Py?1U!lDI<8dB2XkuIa97Wzy~?teqHW(qQ0w zFx{-LDXlq!rSe#TK^>QcHS`3SXfyYCOc)MgRyR%Jk{J{1tv$LLV-wah-b?QK6z-&l zZ5(98i(Swzi%B%y1-mvyb@dq~EW2~;(?*N^k1OGvL&S}hY@i_hkb!s7N(9`yqR^Xg zYd&nU#wIlJni}L^n}d^zb}p+p0UoJ0Uh5ej_t{7fcD4(v1>NRE+7vz>Ikwsv;IBz? zwTv9#2l3OfI%IP|=ANv53$Gz4(_SukRc9>=9~_w7*kuxwalU@6P`Y#fb=aL|`%z8d2+)y@Ffq(TC^tRpyPoKpXim&i`x)j0IXo08IBRtJsG zmAHy4SC31Z>G+ zJ(O;AMbV%@SlIz4%ip{>^*2=*;xo~*KXaw_5f;3rv0jBf>p$)TCUSe7%>)jE81xZR zDX%2G#H!wK`<9R}H~Y6Uu+5DEl9Me`tthPUK`$@rpJG9i~4q)&B7rRn+sBwy%w`a94*2*5Grl-HJk)8bjjN zH_0XxPhaX-WilhfS)%s;_UIREHYXgbUMjzTQlv9M2KoP{exl>}?&cB?GU=Z_u*tGR z&rWAJaw2V`I}0pWZL^yygx9s_iBw4=2P#_Hka|=ns$!p@^qz8z)33Cl^ztSb8y-t? zwDG-Hbd3Z@?>4y#oP`F^x432!k(ZfU>m?R*GJLH%H82Q;n`3;OHxinW0(hbTbG(lv z2?OTUWRLN8Ode1C#*qBZuh4r85Td5K7)%%)m}V}RTrU_*l|<*(DjT;V8ZemJ+*6$4TAOt=vr)Z%xyC9d)HrlHqkSpOw5y*6YE$4kFxEQ7NW*LaO`xrcU zw|;LN&#;cluiplnM8FQKGa$O5IO&2zKd<#HwWZonGTwm?3yA(qe-v{Sp&o!E{qoCmkmn)L2^!E?b4IWo^C56qbrzOO1`$RHtV@LC>f zKW?syg$=38|CaqLZ)Re+vVHBiZEx5EC}D0=E~z*WC`f%}1YF}$kS#V|wci&|2F~fb z7^Thp{$#@evP0b}WLyS1H}g!@&>vDu4{oW?fA*q(7&>ge14cNd9@enUioNPJH^{4v zT?;P{2F^2_i6USupUBk0X}#IdE4-5_F4IPd?#km!CQ4CmAXeRvEU3D@dcl^ z&!vy&oeR}_=wF)zyRxAUCey5kO}`YYX~=xvzL4XZJWbssD$3QaoM-&ju3!`G+%qa2 zBRTQ_G*Z1UsGmA+B+G~{@x_@TZ&)5UIP#9F;JkAEwdd!={8{-(SFI}e=*qim0(>UZ z%70XEBN+vK_i5LTP8k2dSEy^5Kt44Is+T<3pDlv3Jbv&xeaSjLjMLi56%mEWb?KiV zLmqAAE<{DHlRI@ObPBFx^GXz0kv7|F2Pe1+^3*J)1xw!aj%NA6YNJ7bku$(865Mp6 z*~;VT1jb;r-jN$R4d;sihnLBeW#WqwyH>h(%lMcDJN2AV2O1SJmz2@q; z=siDa{WWK?tHH(&2f${&FHvZhja~Ucg&v8c58<1qOuK-1H*qTr!u^Fd=#?EZW6COTbv18pXsQL%fN?&3Q$iz2LX6`LqY$Ivc-!D zmqCi?wJ48@b!mjVkXTeKIa{x%f@HhK0*I4eH&XNdf+{|ja5h0iz7_td5cMsEXv`~R zkgoLp)T6k@Zcu)NC&8by?We)F_r|rcvW^yT5rsH_5zpq=7$;1&*hYOO5!P68H$l?p>`0L}YNns|fmX3+hU=${Xr_ z*YTmxQ}$-@#NISi2^=gchcfLg_V`@~*v4VYfiiios`XWj@6tR0hp!wJk##QbFR#q@ zKv2b6%nR0Yi@OZqyG`D+p}YGBvYp^9z>YR3+`FW#g-t-I~jx{lk9jz5l|Qj8-?(aO^R~=s%jwui{|V&Mp(45by@mvc6MAS zMG0T#7Y!#QH8RR)h%D7^4V$=R=+`@ukMOdJU>n7xlGzBtcyq>42|5HUXMA*>J!*Qg z#`m|*hD4LK?XeZ|Jq(Plp%Or!Q0Z9^h#RS+^f+a|Y}CMC=s|JLZ{_<9pNHQ)k!5tB ztBAf#29^(>4_#tkoKn?R$wHRsDbew=6K5{NY%de*DFk)l!N27R8m=xdz>na(O3G*- zhg9cDiq&@5%sQRj0C=JEW4&B9A+Tsv1K(Ha@0&<%ug?RT146l)8X0HiTzy|p8t*|r zvELu;)6Q5ya29iU@q4tq8f4!x$TFk{oW*6xw-_5zbaM!aYrkXgo$lTt3;tM)F&?Uh zjX4#$?0_NoDFmr6pUeg~g2HUgt?2Ku(sI|`d`J76+8p6CqawpA1$_@Cs~m)G0JCEZ zoFp5P>gCne`IGq0egIz1PXbvL-29l~x^BE@g=)sn;dK?35AT|5>rH#5 z7NTM+sYsM)7tEV?JL{}-O^8$q1-8|JxNsIZO&{jA*cgAR@HWgdZGqvsYM;f;CM{-n2XLLTn7J3 zsV<)5t35zNt`!5A{>5AQfcPqkvElrH)iC$er$bpd` z>_00=1Jw|wN_Dc)6Pt~>Vw+#uy(J{Bmq%Nb~|pP{oCh5!e01hcI+BO{E_vS zEk86?0bh1I)zPt|RlKKcIV8JB4MFFdGxW`R1z4IU1hl)Gt2vk%C?nk=#*bIQGrW|w zR&$!#c4a>}BCVA(hQ8|dyy2nz&Xb8v*}4098l~!45sLe8WZyDnVp>{4v(D)ATDO97 ze1D^ne|uFn9f0NHEr9JSS2!RQ<-Qb*UC(apBDX{Z6aHYr8by0m7d*8VBdWNoNnRKU zoFIE7#gh(>U?T36B(93RJ`?~jBPMKCdwrJlB&G^?B9gR^Psj7p1s^w|)!i`|7QrmF zmg_@RQp*l&H@?u*-FNI(CQ+$qUDAAcq?)NP{ph8HFvns8Pt<_y1&(w zS?R_2XSzdhTEM17lqbenF$81&b^rPf*k#=6wPd$I{L5I{V{wBXnF%jkLC{Ls#8r=d zcLc^<;fzNSJYVd=1wf|Ka~6k(D{x`0ul{`CF-qF1W}AfXgDf#0e$RV%dv7G_s%4F`l4gr& zou4S{8QgT1?qm5rWgp6WB&}*ps(DoWa#eI7SZMK z$x2)i=pL8Otxnl}r~Fh}q5gWLC?Tov@o*)kz$N=A7_Ht#SzSAuqU{Eh>Y$m*S!3_l z%`p??NP;3{JS;>_+R$Mmo?j8Ht4Ou$Uo~=mOhM0Os9EkwLYO%#QI@7qHMrR-dF3;B z6qe|H-&U3@h&pn!*+*ArS8;gMx94o7VbZaRCsr*tIJRFejjB-ty5fHFtScTith-^l z|7<)>tntJn*$%0#i66$uK@5;tt*jg~W7B6G>z51e(g&o)qdp=t5wP@NeLeBoNcb2H z2u>8?vF$F;{Tg2Izhy|mAkE?Izi>jQrZq31uMgzdtPg2#a#A1$&&dqLB<)^o6>`y~ zFcZD3TO*;a){rHg-bxcI_IeJD+{MLV$|PFRQG#mp^{7SV{`(g$487aF28qm^w%VOZ^1+U|)Ad(I4{z+i^W0T* z%o$=m8}(2H-7i~Bjy0-26RAt!^&rZ=-`Du3T$ZhKXL;fgaVq%r(Cpmu$n>e%WadMQ zTz5+VmdEeP3O9*IiB4QOd8M)D{JT6Mt~Tcq3EQ|P1&L1gw`jrH8%*Z7$TOQEe)?Ao zMn=rRt=GAMQP9cS*GIh{?B%PE)A+Svuiv!N`dGHSQS*<#{|_#u<&Z{Gr_@kW^OB**I<)pj~R zo!V7bWjBdkfGJ@*m9~rFfHcv z?MBU()L=w>BuvR8gnuTP6b5mD>w5^5t>^puGB!J{okOgiAGR*wDQ;lQ`1L z{>iu1_wn%a9ulDuVXP4B7C`?*A%Mb9t~e+)c~Eb=ldsa}i+;y=U~;l?aC2x3y5g7) zK#YEJhcjq=PT}K`8JK6KlgnQ!aJBTn=O1wT)-q$50`34Exw+>C>2XG6cTF1|d*mkI zuSg%Q!>q2zo+E`E1JIdh5R1rz(>af>dk?Dj4F@`@q;I4IFJyb- zh8*q7au|z5s`?6E{os$7F&&$%`;w8B&{+>z=@MNlLKE`!4_4e+Zu0~_)4%l`Y(+<@ zc{)|toD1=&eXEYT5!vE2=L4_4Lk45{{4dh51|ZQW`w;HBY5w#Z74SU&4OE_5at z$#KW~r>jNjn-;)s>P7T;5*}@BpBP+JYQ?~XH>7v0uf~y$xoNaNUs08J8)Gg0V|1QB zc|SAg^3lvqjGNj8dBU_5O2@jvNSG%D0hn_>N3gfu8l=y`jlNhBaQ&G-WV|?&IcgNp zw%v}~c#+FaOr2w6pirt41kKHyq&Gn%f4h%nv5mGA)Kgl+oklGz{@;)@feCgV5+Na6 zA#9Yk_w2*%B#Jc(hWD)yUBWiKD75<~k4&&a5r;vNwD9Ynk*&|l)%0c~JA5v}A|Ls7 zZI^IHGB6`OjbXp{1u-FkNXKX&gTK^UGQ%cu1-KKBVeF&yNIkObOy*NMLjDLL0Ce%z zH1V8Y@{_24I@QPav!VZyx>%yAdAW{#Qyu*}+ocI!BJDQ(lb(U|3)-%6XzjYFXR#_> z#PjxlH)(j_WEF-N9K;o^5uY5s6c*D!kUh!+wg2%$t-cOC>_JZ;smYVkeZgt}&luyf zY)3J<_n-mI*yJ6&{;tP;h|;}-8)M+yzI7oSJJH=0N-ua4y>*1`pF$2-`!xge&Qczh zaZMl~ku@mCI$l2n_&B0o{QJE|G>(>l8#05QcmtO;uCfNUsEjrN`g(?Pjk?5))f{pB zoX9q)G4SH)ws0av+m*$31~(GY`UudG(hZZzD`vmYqf>vGS>We4x*6w!G$2b8?$mIv z!TN60BXE1AoREppLZB5-VDuRI1%VwLiNjrbV?=1P?MQu}|CA)GvX8GTia)Mw|&gO6?`1p!$0hl*O~T#*2BO0>P!l&xP|*G8R4R_6O%* zSA3W8k*(P0c4M3O*e#BPgOm%wLoAw=FNh8hm-PlXOE2CS)}dki)OAZkcc)Riix}rC z1C;^nscf$kzX&oV@$W@Q;zPc-qUVsl2)y>D^0-9=AWTzHoOGkKtg@Vt^v^Mie;@*& zQ42=T%|YE{;^&!9_cYgncVLlT+LrIWcS(I46q@#27;;fXWiMs60ctV{$8--C za(V2|xO`%kSA<^~lOfc6T5_J6auX8Zwrr^r*tk1GsZeR)*$|-_q#+81$U>uGMQ1;n zKV{vo5BH-kj3@0or~l@D?~2PGs!6g=c2ZdhRl?~vlnjuv%n7j%imlh4IZg~a7Lnh{z)rHdxd-Y z%{NUG@zUG^7Os-fBIK*LW-9DZas6%oK!SuvO)H_Lv_SUq!a-a*n0rymz>NME_c8^| zaA#1%vXleP+$=NsVA91r#&dm9z?r34Y_7@EUTCp9iYVhnQt1ioBpRap3uOu@jUxNsEdGr#kgX=4N=oY=Wq zap2|(x8eRU?rVOW7`L*+yDII+q2tXLtzge?z?oEUDMJ1TK5yW?P?LPUjgD~&CGDlK zcJ?Q@nb4Uc@L-b@y2ev}AG!91_9}7;PJvh3i_5fy2lCh^#TnGFL)w+;%)3BkZXCVA ze1uhl7z@m=mN?7)OYJEAPCGNcjT%Z3y${_U0gtZfV4UU1mkZZgYgYp8G>#xS7sjfI z`eFwdWyjit&MK0N25~GKV}+oB4+?88xMu9=W_22=a+Da(Bgi>9C2z)t&@zTLUvw@U zfZuY7898g7vC#@M9_D|$LWrf6uVS@MD|rFtfkCiUTdMkz@{u>wYu1JiT>P8Gb-2MCZ3 z|Dg9v1XoT^I(fib?E|*wR{FL@t_l5v=O}okWcr=sNcvKsV!;W|t~5+?v4y-soqnbY zc4eUkFQ`qY^Mhu7XrH&TkO~Ey#Q%V1YK%+Z);Zpw;^quG^^-|rQ^B|o<(3BAFQ@#x z5@a#qo$o{COfF@ErtPrX@u+J9l*D05Jy6rkE`QbSg3Uycg)d2(a_h6_5B6YX=d0N<|vrVLMQvCE|%wKxwR_xM4_|y%eI0$)CT}`xP z1XSq_CwA&;xlFZ+CbQ$fWRYnvr+T5oaV)E3V&p8}*7#w{#rA7~MDyrVgLt_-5xYuY z?FLYRK$0fB^_O(;NA_6ktw&+*9N7X69b?lsOv^}x{Pf?u^H}#*HvSrhk-2Jmv zcjv)}?y>aLV$6p3oLr$~@NUJKC$Kz(rpm-jL}zMFJ!i|s`j2zhX)0&Ef$YVD>-|2toLiA z*)?(SYQ9oXKZfHk8&rRt=wSdw_TdLcBuL=3Pm>I&Vf^EW@tr5b;gTLp#?m|CXQ=C{ zk~8m1^)={sZ>U_w-LTgMz zu|HTMm1?SYnd>yynK3uR2y0ZiA)Hbu)tV~N<#C$XJ^MgDJ8r}0b*BA{xk*-NUR0OH zmq!oOQRywL;J;uG39ge{@eY`zVKvx0UUU`F{WhyvCBD>*Ra*I)#r<%HL%n&sjA}5f=|MiZu(nXq%7^MP*L}q#JIV=Bc_l3BM}) zu)Y?k69Oar`8rjnb}5{XKbcs%e5a$ttaPZ++p=kB?m@BDBqh>j1AfE1E#10@3hose zLgJ>*rF9d4iJN4eCr5G;p>a9J+hn`9?_J_Fh87lgl?Q$i7*j2T4%`FjGRuPwX+Yh` zFPP=fJ!Tl6cnhC@Y25aR!78Ej$K12x=ZdD{=ddCnd+Wl{TF4(5s4W1j|0Fra#zquU z&$Ag+kd!H1<-Pr$N3l}1e!Z{TWwUn{8t|j#3yENcdR#PPGI?+;Y>3#5QwCK|82F!> zvAUw^b%GNzm6;myv7;hFfkJ1|Ns9N|1GM=9SKmuuKBu2;?a|oaZ3_;AOm9eCoHM{P zB<$(@7flmrGP5GeYB>$L!3uv4QoasDA5OY*9y3p`U)CHIto&e2m1zd`BrwJ;BR z9PcbkTTILbe;R&4iE_YPG&ku*kTTy|1XSn40qX1;XucVJ9n?&dObBYR^xm|O!zCks zF2d9X74T$v3GSTs%qLrSKSP*hew-B*MqGf~NEqtNf#G#X&K2<7N5D7m7j zEh;+w_N4E$j&oS}OLPy}^f|)u`=g6sPd!C@WNS+~JI)1ak@hvgvh^jvnH%NuLym#A zvu8`pV{)Tw#LleK9}`&2)$lzbi>idI(xmB%LGdwmMHZ(^N!_KYIKz0+vlzd-dFO0-l+_X*K=H?Yf436{Xp{O0{&8pkLa8PbM(+) zAW}3kMBYeDAhSIPL4arX0`qgOOtgIw?9+~E6;}9-37%6K5sw5-mSw!z@u(2{7=sE`!0q3RyXP!^Oc0syG1t&E#s6kIQ;2aYB>y7juNlnmxn zDf)lffGiEYyR0!6J4UuCFYQj;O4%^JJfZVVSJP8j1!h)R z24W^A_JZ=`#sYA=zAp>z5d8jQeBDdJteh)InMKSKlI3*Rim(MUJYdO z7Km7ipn-m|UP`;?r-P5GLs4|S{3+}~tZXSlKEkI!HDfo)k;r$5e60`Gs9up>)-Avy zTxAsiRGBoKOrw|Y6Fevy6w~&EhNNux!VoDtby1Gbr!1p~RY#g-t5*W=>)wo!vUG!s ze{yJnX?K6DdjMn0D6w#Yp5rv<@t)OKurB=JAG@ucXA14OYj5+OLMGco@E(@ zH<|j6)cLuJj7qu<$aRf7o4p*l-hgp?A%*K3UJhsMMccAV@PtpO=hq~E(&?)6C86PV z()+`2_?r%VmJ;pVFNhvXC`FNgq6;gDS-D?t)h~1b^vO1{DJrWoLc6m$%BmJ(g&w=3cmx+UMIxgyzN;4C82@Hp<}}||J}nAwBq0~Gn53*Pu&-2uzP!~g zI>XSwc?cxZ&8P<1_)z!OB>*(`t$6Hk|CMhb4Ee_*bK3_i_3g*M;@jn~Q*O>XZSVK{ zHpA@B=m-&Cyz`Waq@IT1d^WUd?aVoAgsAEA#R4AnDoxRs$ z3fbOd6lx`}!@Hu;mIX?dNd22bLtp+MlB>UoEt7w&+y0y9&1A#rcon3Y1;WgP;bZsD p@LY7J{(oY-^8X{gD<<)<3?AQ0U_}K^V@_~}1<=-{#^~Ds=!H5L&Gwv)Cd8A=I`?H7bWerU0oR4um-(ge1ha$5KF` zf+WZgjv^pJ5Cb6Y%}73Eu~!jEqbVg8%x-=ZN&0%v6>=iyKt1o}?)ct6QJl8U{^hs2uoOGUx6mIy ze2J*5e@Iui|3=j+L-7PZvVf;CUnZJ3a#6?r5&t`~FtjZhZ@$e)H>#&%tBD zcT=B&N7n}le*ur?KCT~v$K1nzjO3S{TgyE#>XLxRDtZL%1fg3jq%@fk;ja2xI z4rp6CAbD|d-mHazSfUt+U$Z)2sFn;{fD5=|CR;_0Z!DqM=J;S4QMpuvBxphx_BCUf zcwizwj8y^shzm7{XI>_s_>oF&S-A|DQ*;#cHoz6VF$56|8^N$=!|U{TCLnXHeW1#cyhu-fF^ z@Z(K|R8BtDLwP$C;pSjb!gA0TEXf}7h>be_+p?5p9Z$lg^84>Bo9`8`_^j^(7UQ3> zSEr7weK4Y{j9YfZSR;CO^Z!n%HVN#h7dy3|caEQ{&9PFfOyu+0oDO9sw(H;Kz-^^= zD5{TSq=m`A0_$@rS`dN_$Q3I>nC^s5ez5x?$(d;V%cPh&7-1$mf;r|yQ`BJLIRY=L z0PRJMzs7X6KzKpCW4en~C$%d)SKy6Beqw7*U}2li8m625ORq%t3z{!Ec;B;a^4)zr zDy}WijTMEQ4MXfE!fS5tz35N6w5&^8vS(OyPqYvuvcz0vzN$BH!D-*q%Vpw3t*pV* zHnO@m$I++11n5w5)P-c%?NZ(5#YG0ayXPn!X*mWwQ}>Crc^6_carJ{{;%YWB&R(j! zb^Uc4rkw99M=vDLZ~CcH`a>M+K>xLKZI=&7-uAiBg|31UrMZIvIv*x{glbzJIVhD6 z4LAeuk31UcdYkn{zrojxLtl;PX}nH~6t$f~`HoiYBg>L}}pl8YE z3|Q7L!MDeCTYhj)cL-eFbd2r?Z(za#77oyhtFMy=oaNTHnQ;e}Dp4UMzB8KV##h-( z`^f%XIe!m->M@`xZ6^8X0afzD*zc^wpeDi!_pN_uUJ|9KwbZJ~?{QxVyWTHTe;egE z+?oe-AHY~k$zeiszb=Zijc`oH%i4>xoWasUX-fAqv6iC;dyl8#XxJ!$^C?NzQd)IV zE@=m_S!?zLxQ0geIW|`w^|HSbFT5%(((Ke?G>N%}Lt=AG@4dc0k2L%05xD&oB#ERY z&d8kH5L8$>AZe#0;|;mt=&kG%fIfLO=I?rz)pm|nx9WyeIo?5?6=yopngj5#cx*0` zP1nJkC@MEDjz%a%TtOMgiaM9L{vi<;!WD6;PXpGsL%eLg%^l$Z=^h6y5KVUJlWF_J zYrNFgJC2*ZdKaI`Aei%qO04>Wv*T6qCeqR#PcuGvI-0{?-9I+Hd;9=gq+sR6E{;Oi@ZEN_oxYe30DKpt4e=rdo8fIj^!zW}@O)+Wubw2j= z9$EKZ+B9Dh4F52TV_$};9m8U%;Dc@&=6zjn->O=&eq6KPKex>7a&%0lt9LKsGR_Ce zbQaiJ2%lD2Lk>0BF`;ZUl-{^-2RWdXB;{^Q(3Lhxay=We>2Wbb@z4d~9cnkSyfZG1 zM?@`ms=r4kQ5Y(FCwl*gXS5^zpKTwJkw@J?%kzVAtLavZ%Cy?|;=v{w!J_qH>W!># z;QfrKf#7~8T0b@&)q43_`2p(bd(`Cg_bXC5cG7gK_kFvUuV;!#BjME!O|ji&dHZPm z>O&-Y4a)V88-Kewqdv88OBC&=fZZ3a(*o|_&qHs)Q^J~r1oBfQLIIkF4fKuK8SCCXuHN^Ji@Db?JObv{~DA64x(ApI)B z(9Vy%ZVvQ&Mmpx17-Sn0Gb?jGDkLN?_nymqhSEfYWhpa++e3wiB(`(tGotFHyH1RgG{wjnl!$+6#$pRGL$1`fYVmVe`p2eG)8b0 z4TX}fr=;eihnnh+nZmElrjCNodbQL!m5EzfoMI-9hp_7e`vYYov@~T7iOy$8K<7ml zYhx~X5M+0ISl2(B&-=i+{)Yeol@<|_YYpd>#CNv=Mu89#6Fr)bE+{$xs@gY=H*nKO zOg2T-W*YqJ6YES+n-fVj3{g<5d&u^ZbL_5A@-B7#!PfnT9DD~erN!n%7;){!`QJyAyBc9*4`>?a#e6PO2)im;aSkO!57vc$@TF;QA@P-KlcONGLb{-c_ zIq7B$A7Hy-9=j z?VuA4{z3O_D2@HykG}boBXuQ7SJG;H&*idvawcBV0%R>&U`K_SZbRIIKwUs z{h=m@pX>J}=OOXH2I7V&U?>KcEZh3=f1lF`jZb zVd25^ms(;e@i3<|;!MLaOX)CXvVWM5%%i;qUALQxUre^Xa#W&Nze|g@)r(VOd}7^c zWXV#Yh%wyDuA7g~@dUP<6$*`!^f{sGrCBgSXD|D`+UaU}XY2(oqtW?q{}(UvKmM$h zdT#Wo{zfGieYKe?q{?Ft%J+*Nn|1my6P7^qU5$paj=16wwH-MkB;2N;kdpbzla){G8LL-<9kINa; z4+PEIB0fLD>`$ss*myT@w$FpofBlphT5Y!{XD7c5LB!O|$L1N-{TK=p^^(nMCatDX zj*RRD%~nEO>&~r_KOQtW8!`CM?j!cUB)<~qB>>&69;t6!WYf33S z1qTx)oxPoth~$w4=H-;;Qa9>zwDDOBnBoT&8{pZa~qq}oO=|3 z%xT}SQN(GREg8WMU3X9J?z&bc@-t)hJIl8xhAC8c->*4poR+XF8%k;pu`(NqI9_-? zr$_dc3xd%Uf<;^2Ro+Ed(cGm06vkbRli9|+Gj9MM-2?C7@9)|CMLZHve=v7|eaWvL zCJqH$8@w&WYkoDhn{PV+FZq&ElY*l)*AW~g-Stj!IYmfvrT+V>>5Ul=#(A6bHXkHU zcZd3jCr%Pky{ws4)mx9I6ah+Am=*13%LJY@7P)f zR-78=Gly)?O~NCwIizZNn$#mObm(c`L#se)b5l*}AJd}h^0HfdWe{q2pKC7p>F zyl0n>D|VXFp8Du27#1b$*_<(9Hc6o^-snSuQ*6HUKN^CB%H{j!{IzSH;B9_yU?dc6 zxf)e_Z%^xoL?)9KqVF+~6D6wB*3{hU2+YQ-RlmvXD5Mx(VUf42*^krP+3f=5#5nkv zC&a#69St{c%x|K>3$ncx#hpL-quY1ZcFlSWQ2tnI%=8nxyE6ehI7p{@&o1^_Oq_f6 zhH^h<(Z}vut7*3DI?E>C*%DBHwNTYRzBgq{h}7nB*{hn!_kyKPNQ}QgF)0l>`H04@ z@yH5+s|PVLwg>`;yWfAc#l@?!QeJ~P4~*s}vK~J51Y2xFKWuuTM^W<=tk*2>bWgo| zxP)ssy?ZZBSK+KL%HmQ{XK%-fOI{V*W*HLuA2u;B*(_{-s47LC6QdtPq@dn0dXT-E za5u>Rnn_RtLjeeMtRooG*z!pca0TQ>oWLEL93GL&zy|kwn5^IJRLV-hUi>%vw`A13 z+z#i4{^|0&5#)BwC?<~ZQVZ9fcakz5wl9P=XG>$^7EpD@KfYue_h+b#2s1VE} zlcgkKaeFxC;^L5<^&T+J4$aTDH}BdX9LGOon$xs%W~g4QfcJa#(=VM9(;oF%D#`am zyv}xhe_)L}9(=yEk&zH&n$bf=CY{}FmTl`)bsHXijZ!nxz%mUS_u~0|Z6l5ZeE+At z{C9b2{J?BmQGozU{Abge#|%1>OPO5-RggD_;%%?_+9jG?X%**C1!xK7UGimzD)Ry0@8wuL(%rKrU~la`E-@$fc=aH%q=(1} zA%C@@{-0D^DW(pCV|{jXbB0T2K{WXJ_Wu;!|3@#|0zv*96CB<%`>{~h-c5}S!)J5C zeu5z^iPch4_lEKZmFy!$=hT^vkly`VJG(ig_n->w)fnSoPT2I-Cg;>ow`bHY$w0O((vHlO16utav>YtzV2hU zUtk1$iZtownGUEAx5SQm$?M6W5jJ`<9Cxc@X|{UeLC{}pIn`O0oDlAZtT{`m_Z&4= zQ8BOI>h?Q=KI1vL7eBpm{)m`gW3$MQ&G;Y(eY#&+B(?bqppcW{R^9lRXYwfKrsO*$fU$ zF{(c3hJA!jJnToR`udbJDX;pm2I~69Y=8j7=KH&wvKaeM=30v)ob0;pCc^CdoK4_r z{xlGz^LDN-IY9z@Yi>55bx*10VJu5Gp7%q~hN#$sqOuPZ6@NffE?tKLTNE(kpa$5` zFj?DZyrCT~KnzCBME3-IY37$J1^zy5VmbG>4N56ih}?VGf2=@X!AinTprg?byZ=8z zLGJfnOl<^P)na#00w#X>{8mS(U5n(wp#9vBIF;Z_X_hQ`RtsFZsT>IfEaXQH^+AhM z9U1zrYaWHl35>A3mddY`f}Q)X_)Q%#{jle!L7K@H(wXPk^J#KDimhr{24C(o^e5=r zB)d;%emXJ7^;LWZfIf~0FB;$)XN$;1m6D{cwOwX<;?;dFd`}k(1Sg<)uXkER17l>w z((L+vc>_CrB$NH?Hg@iyHqHKC z%0O~d1wYXA=8zLyJ>1F^G^4mD8$7b2XsZV#w;>MNP;iO#qqKxn+oT^4(+Mb(A5M`S zb2XB->({*bA-l4r?GqdV(pR!?s(9c_pzY$N82Ow2L}8@5hM?ol+xOFw1%5j} z0mS*{_>qTz2gUr;vAE!Sa{p*qNOZKNk38Lrb22IvmYYom0@}U}kCRK&W_E;}_yH!| z4rp7Y%9RaGW1~Y*x#7Y}>2YFGAK7DhA?3P|5vtp0pZ=}jap~qi*VB60Ac){=A={lt z_Rl^IYQ$mBZ@d@GUuDIDAst!NQ#27Ri6E#Qo%VYXP+}~3!ijQ>l#>gqiipS!0cWLV9=z(Ti@c3e*&~II?vsa0Un?I8?E&IRZ zzX{dMR^su%aDVu#MhPvIR$u#m>WI*tkKGZ*wdhGEAj|fM+|#J1<0q`|qU0W))yeaG zddhrzV*4p+tFu@OQ9;H~6py=l#zT*=v9ve7o$^580q=3f!jGxz=lX;Bt)5v#Uic>4R9T^ zZASUGQO3e6*!o)YvPuD`t>1{5J$?(drkT%|OHm}^VBEa)RLe2?b=>(VV26_4EPd3{ zRDeGxG(wYU&U~F~oWu$$K?!?)Zm$3hTtfCZ(jps zsb&Ol`tu|ak$-ejoASS;GhGE)8|d&Al;||@P-^`b2#Y*&VWZ_BjtrS52w?$RNk2ief~%}Vql*ztK_)D zJ4jQYTNCd=gCpQ0R`x`~8EKM7?3iFU^(!wj`NHuVFodrx&?(-sX%yIL3L2){K0cFR7tDn#)eC zXH{BVt{pQcqq%FmdYWk=4iF8;3l?zh@VLuZK5$kL3D@?2POjv|^*P+?NS*Fk_dY%U z&_TQY8>pJ-K`nW990I4*k5Sb|Y0eWEBz&hp08Vq1{>DRHL)x%aY*hMRr)lS=L%7NG z=`@uD?iO#JM}?shVbXJHB8SO*+{{Q#WL1|#m>kNRqXU*R6PPF_8~M(si#iDmS0t-x zh8>BvOtj;4G3$mc$q>9>qBeP>nRGj!a95Ts%d7X(KVn}I2U$?X+{n||_5g3@Yedr* z6i3a$8kTd@ccrynyFHUVs&1Fk-Hpl32YcL6Rg%69$C>Qd^#xqnXN}5vNi2jsEowD) zl;%KsMhHjo=dyxZIes>pVm|8z*~trrW=3F<0>Fse3lZiUx;Em=0DR*mLChcSPg7n< z=uTyQFAzu_Qhbop98{rHg&dVX!VyqiO{a8K)^Hdk1$GZGgAQQ=_-Ip>Gy%p;3S*p% zLIA0f;N9axJBT_Y`H$Kw|OMiu>LJ}rS?FmS{=|oKD3vv!Ii&`@CA@4a#3SO!?|nXZh~YSF4slt zV^qUqriv9ZgYdSDZsnljgWqaG#m=#P9!C)iws31f3S<5trMjufd0OWsF3lf6j=q=d zhi#^bp49=A`bw~0$i|Lf`QLk5d7C@`x(5ve(><6k*yq^;06K(}ceD{2CX2(iWYG5u zuKKx*R0@tDS=J%bP|QFdBXr3n$sIvo>G-9Z?ST#9FQYW3t{mc2z=FO~R;EX%&FX~> zh;@7I7eW~$ENf6mtWc6Y;=IMsYMwbq!t@+ksl*wdoB!ktEZyR+h_;L8=Zbx?gg<*~ z)vL0Q>k?C*N@@z<^5)tiidg-Yu%PFK^Y)a*Hwsj2=oMaQir2#ggCZKEP(l4#zy|D}K)y#%egtn9%u3VWK{OOb-XQdVr5#>vJ(RcJ_GAO)<&<6^3hrC8cskZddw|1miwPxj>X zBLnm#O(`}{_g(v2%Rlsa9Vh>8&qgayFSy2GFoPZR{?u8&MknFQz z^uru>DCCArwa=b1|GKIlA#0my9-**{4d-Y3T+#&L(&CiH8eHj6zA`YEh`0h+&a@@f zLS%RPQxXcae5b>dTW69(B)WBGQebv&rNk>T2F+7Ny9gl}W4@t8i z{F&pG%}qd5+MKbgmG>4~(MNVvS1L^gg6)}JkU*`<7tmv(w7b&Jn`k{E`V3dbg+x%s zaGqDLGXb39ahcSDT4=*&A9s5~n2*NX?gyNLGznAiZb*#?X<_cd&tk@5^X{QT z3}66vv;_0OuJilG3+Sd#FL@x#kOB*7s24<2Sy~XYtc&K*lXBh7V3K(!H%pnjUQ9$B z8qgVgt|qK#rRB!Lbp3qffVSpnIZ>*ped4W zwN(kXiiGh@w?yO;idt{S_l@u6SM<^@^g0virN7H)Gf7`sY=ix}r3WSDil_mp$&rSGKzY2%POs*49A@H@jDfUK z6v`7AoDqLovL!<+uND)^bk`oXOGQW7m;nQLCA7dw(|NHWW$@IUUthjI^K*%#dDZ@N zqv|IbY_~w^gW+>X*D|Fk4Ve+6CO_&8(vH^DyipJAQa`3_LqdvC_UdwR&U&q}&UT zNCtcso3C$fD&&!S!YkcQNLJ&h)*=ZW^f$ry8p@~IV+rU)odo=zq z$j&Igi?~8w8(eZnDOH_?z#@+R7l(OakN5>VxKws$;Dq}zmO!+6S1AF5Z}-@a%^9eZ z{~Qalg{r0esJSsLu`0z9riPD2uEThNYI!~LPK3|=7!QPYen%3mwnipaVvB16QZq#~ zt8#*b3r72lWzflHPSXzS>!y>bwlgiK6!Vg<@H`Z@-%as zvh0i;3Z%{+gzn0YbrkDD0M9Zk1QWhalI{kY|6pvUa@p!FF3$FY9S-lyW;12=`04*Fz7uS52S;^VgI_0`BAn zXbFUSQh)fmFaL=!DNM}ob`AqGmOtQIJ%FU@cQMxIOWlNoP}uT9MRojaF|o^gHcUxw zE;K-CGH`{L0qHoq>6d`1i;wYf*mucy_0lfPIdO=MB#T^Sb!axzHZr32UbZy{1^f*j z!*4zy?O~)Poo6?8@yrXviiY9k4Vc_}U;!_B&ji43&d6I5re@nKJ~RcMVB~%0#ngu< zzXd;%Y;JXUDdOA2;G>Pa3;zcO6Qc&mH-2@=mA3NN35*~GCb5r<#KzifOpV<%q#;9pS@}X^Id zf1sWJb~h3X8YyoSpj$UM-H#0~uZ;$rRhrdzf}oh5%i*n|2jpho8+ zXASPYBu7vxZ~Bd>;(6un7DNr1G7=r~zd7Mz6_t zl1>rVs$H@%dsD!wgRht52=CigK%vvq;!PtPRftXal5QuCP{@xR-`S8J6j;tEti(=8UUw>4c7$KvmqU!^w`#)Q=5PsJe>Q4dWvv_7 zB3mQMpr>J=l@sWG@?TEsxF)kB=(Bsagyq9ZaTDO{qoTDYF%+-18)W@Mo-pg&kjfEc z5Iuv;0qh%gp8r>ww+ftZ-9btIKsif~=dp`waChsM_a+L`jKQ1in>QB9Pd) z&AwB|*XV3(@rN7aP z#LEkIYxf)rInKGJIWp9O^bf+3e)t)Yt`&Fq>oxdwjq$oVeqDXBC#+c5SbX#ly_i%4 zU-DO@Vbg});~}u6yY-B|8a7+R{mAGEd)Sjg0_{#wk3J~2#J-Z*@ZhTz@@6N zeS3u1)NN|@bYG;VrVXP?O-D@hwFL-dN@L@3_hEsjxXi;bMbn2PNvR9DE!*S*Y^rKn zE7EpLTO^Z{YShHHbIJsdF^(yJUK@|Pj_9gdg5JABy;w0Uul18(yi4OhiWo+}dn&nd zjBY>tVZr_Q3ruc`@C?8XD|AYV4am*>?GxshY+s8CMWk+=@i6m;bU`zSVPr?SWTF6nZhIBhOP{khi*I1P;7f z@y>`}OI_tH(;Zo<&A9`JNlT3gxV{gg@lfy2;pGKil0dE7*X8SN>q1~P)OVPgY_(v1B;O7B|5%WLUC}V^vyd#)|Et zGzEcIRm-;u!VwD~eWXy3&xevRd0wo{mMOKDuu(Ean9jrmgD|@H+V^ z;p$3t!o;LzBqb-(G+Jo&DqS;>qW@l~kHVO*U(N8i-MF%+?=95($g|;eAlzxa8qj9d!59GG^B` zt@BT?2F%oxfSDj03k0;r8Bnz6_kHP1U@KPKde9Lt05tNnj)X^q?uN^3*@{L|(mK6v zLLT6!?N26g(P@$%&V)|&v5~{?9C{tobu}t>aB=O`PnMqMQ`wGN5fd~$A8`yCbO874 zYu#@@wJMeqCdRRIVVK?JgjOzQy=ky@?XEJr6!q@Ue@S1LW>j~k>m-ZxOe;AQdPhYR z3fJRb8TRCA)M6qvPq=-em7292pjf{6iH38mNwcOx$f?6h&sFByrB`p;D7Z z^iSI;>dcNWOd&}>KD@B<*7{d~r);(Uv0KwN9;Mo!`=yOb`EB=s+2+(30C*qh2?9t< zceB4&S!KAj;c+WVBH_=N^i;((8`p~8GUqL{WNIITf2AKNPj{Oz2&Y&GJbJ}#A13A5 zw>49Pk%El-8KsWl6DJ>*BJfT=zv@>P^`7pRbW9a#a`hN@)T%*X8NTjB{@i{)bt*1C z<$$MQxo=A5m{&emG8xz>g0o*Gvh>`P3|)th+<1LOANK6hk0~<(Z)vq2b)CNz@4A}) ze9uC;+x)dW#TCt(WriMhV`Xxx+%vpElab+Bz;}S+)&}zMrEd;a zYYTy_-o*-5?NRM+*;?eAo|QC8z&=ibAy@r5QPsDR?dO;HsM^KR_2F|p5=I(QEpG_ z?`qg35l?j8onot(5|ON&pj#O3u&RUBXzpO7ghTcy+JTSjqvC96rnmW)1G$tBTCACFZgrCJUWLTUIBCU zc837Kh?m*lflW6LW7y2e? z=R}_Sm|9Wq#|yWgymAi5=Qzx`D`r4{&U?J_gHv`9b?EK#A!QUK@ZQs?(=jkK)*i9y zHcy>cx34YBtK4wvs7iMl6xhzt2{v#Y=H}BE1(|rm%C5gVoN-9q zFMHeJV?k;t34bvmgy{!55G?HB2f+L$tb(Q9Pv+O2Wcy(7ch?rCzrFu(aBXQtGgsAy zLpQH4F8DtR4s~w(NG|vMn>3s1Y4WpYo&6rBo~k#`kgg{MErm`_>o4_75&M|>yo~+J zQ`Ia>Q{2}}JszdJXULtg5bxhF0#Qb!(}7x*s$~WX%NuW4u%B*|hIG&#mr;2TcMgCL zk0Qg3Zbm^pX9kW0t;Eyxu$o+39LW3e6EE-MOvCuM&*f}{M0lzc7*^_y^-DwE z8YH?FMYiC|f89E?-|;TtpvFY_A^cu%;^Vk&WM| z+@J4iWdba0$B6N02ShFFpycsBGd-O8bRrPx?B_&d5{eEqJpzkl;=5mZNJ7b_FnvKy zeVx^z?nKCqcg;0UK&aHSsj5SR57TNG=QY_&69sYj;xtVB(r~%4M%7-H4mwOur%9i*>1QvJf2OT$30x` zey#m0HJ7Cy$%mqKYncPNytS9}1*!U_SHd1lN=o@zA)!1=MXtsQJ(<2HF2(W?GzO z+&a>zNffZwM52P+_$H6313!aE z`*}%7gX@lsbBs0Ld!OK0+NI&?UA+BU5C++|i3@tUygaQ$_ZhLJXGfu>hBh3SAs2|- z({ZT*amUOKnBqs+6@3`tVUH8)74@hKe4R3N-m%VKo*h1h!pR( zx*}mmDi-yCs(YC784kU&1O_&*nQa){`qXC#%Qc-)R>oN#I}h;8R(T7! ze}EdiwsyAThXu;GPirfsOeKUBmb)8fOFRSAW@GVLFMvJYs!xY4$mOl_dVZdU_wD`U zQQ=P$>E)}UMgFn}e%+u?C}&|;p{d zRCHu`%^+Mibg&HLERetRR)t^ZOTA)wtuB_~?WrV^`6Ig25!wbsJ$u_Q%6A>vQiH-$ z(5_otg-X~NE_q?ja-iL9+c6(Oie>oZz&bXGDOEk^L>gO$o7+p`=H6o6S$P=EyZh@d z5UJYPc@208Yo*G*3^P9l)NM*o5_zjl4F*U(VaA;E^sZvZu3kp_EBZid03=hs&_6zC zz@@~qg0G)XlNbCoMW```-WicUHPW+2w!Z{tTZhCle6Wix|Jn|^pRJm z673HtZ*cW{6weRi1g!MbB$>80CDPMSm|XxpxFX2HVBX>y-eK0B6Ffre8mq@3BMGFc zm^GlBN~_`{jLUKA(GwuEeOJHZeg2$bN=2NV&l@nN3Jh=Ks|Mdd83)rZ`}N%V)-o4N zgjvmYIBW$%5!~+|_)S1U*~E}i+F5BKWJ#)K7+Nf(f{Df?(uK=0M}Q6dTT zo^Mxujn7KlwPlw=6;kt;?e9w&E&Fn=cq9VM%44~-i2M;DP@L6q1EoR9R_Qtn%X3NL zhfd;#XnO!QHXtI;UR|eI=K9(uG-g?Nuzv$;9wcE%7}JBtIjUIYQ%*X(8=mg@2{FGC zf~YB^R#}0VX@dR+kWqNh$$RmgJJjTx2&YRnDH}ygcC1`TQVb-%*Qe`LqFq;AH@`Kn z+O90)jx@psfnJLYMb9tsohY}h^Bk4@vtn2hX(T^j1KXQz9<$n75IXaH&kL`bVM~?7 zHrs~WY{7W4V>}?y9?56#qA3xw+As~T{fS!FBsmNMH(vwc%MlYd!p`HTb~z(@zkD!~ z^mu>Yue8a>gBpZ4(=|LhhySQIur*yV#_Ou6!J;@IVbgp3DX>+d8r~^7j6KcM*R;|O zI*{`mLD`vo7Jc&0L+A9zqK3glXYI(PSvR-{rhnQ@DCQ;FJyo|v!Sc9&7 zfMUV^7xZbR$o8lA=u;?jNjBq~ZF?;&Dza`#@Tf+m>1>K(sW0eU( zw$Yao>-dZ%F(1fmpYMZr5vEgZ4@I+iYM*RO&#BTpfxdFCd=Iy333x9nK)IKxvU7ve zc5NJ#pXUz%Kq^#A=siv+F%-VutNE&WLB7&lkBMmT(+o!dk1=Wh>Sjteo7BfT6RE?( z?WlA6_00RP~Q9%vaz&LR&x{sdIk@FXKL3|6yj7-C>@$f4j)Q$ zyk<|22C&s?pqVS!%(`_grz7auuT=h-lfR9e+2F`ujl*V=LKEv;ZaCx`dM5|Sve)nA zhP7S$i2PR}WiM5pz zi$n0EL}tHz?LK0en**33dUI{OGGSNw4V&!kNYXz5?(XS()vIYarI9v)kYgtLojIZp6R%{Zzs-{JqCuWJCb+li=z9hl=+ZJ8aU8GUW%)thI8WE)@pC{`h}D3-Pz1 zg*XRsn>klHb60v9x!^~!ha|7r4Ls#z`R|7M2i~emBk+(bF`*yvML#MN?8c71{;7& zvn$~qmimFwZKoM>kHYe@)-sxgud75%N503-3RaTM^9+L%sk|L#Ku!R|cBh5DXV24` zH!4w&xsd*^q(&-FL0g+J8#jd|0diGTGqPa{3YI%d#|Y)$0x8VWRBSH*$^k{A03q@q z$|#VB0dbgpv)*hv}Gb!w-f0ghLO!LV_U*ryV6}TQVE9oq%`A_M7a4idnnH{_Vk$Yx8 z3SivP>(8PM6}1}6NFc|gRI78EE(sb~nh^oEoo7MsB;e-inZ>A5;z3kgFk*g$#I#4L zv)IoWTHeBe(H0^}MDHC(&G}PY2?&k3XCdn0nC`rFpHKg8xc~dI{q>OQemXx5Bk^F5 zr6n!b9h3!&2v~rwlNT->krUd>BHMvPE-2RP7cW3JeWl8y0GU9)Xtt`q^%8D_?RSvS z3lvF`yNNR+OGOXOxuPiMMETu%u!8Yvo}k%h30r><36S+ds`_Epc^rTW2-d}voa@`m zA=Je;@`@j9(_a;b?*|H_J)8-hqR1FIG9(Z)qng)k6`H}Gje&fTKACko#9$w_`YUd^2g04G zPyuX^Tx3uE0reK6gzg&{fP=pNSvD29QDrJXbDJm5lS}DQ*F%AV{IwIIP3@%-tcp+_ z8p7744WPi(HpN}|IvvC3@1=j|O(LPW$m0<#fUPX^DUrTLRj-P}BW(-o{Ulw)J@?|f zp_0;R=spIs&I;HNs;+}5QFTHVZnT*b}<*2T3de3Y~e1YVaLkGvu$r zE0~Tz;#{tB?xzVEvb}s~GhmKLhlYfgQK#2`$j$kfm>%wc!(p1mhi2zKUNP22yuPM} zI{LJgC)v)oGr#hRYfn?(S?5hGl&vuDDqPM zuGvt%E4$5)?*fS64xpAiZnWyf)N9Yj(*O_dDh5eF#@SnclFKYlpJ-AHy>{RNOV_W(z5J( zZjQ)0h$BkmV+%kVbw1lH4UlV_xRKPeq55udDYQ;<;HN?+`@IqRdmw*lc(>Ityh(xCG=>BqrQ{1~EvMjjb zm=wYr^rb}Q(aIunQF8}s`d$^cUde$ism9V)_jq7DZVf7Vxx%53fp-a##SIX_%d9AppI>s601XTC|e_?uJ5%&%U`ETltA3!#WJUbeF ziv2}Yum9@n5nl*Dw0|%WMX<1x#_S91>I|^q^fdE=uz&n|K|v=r3QKmprm{lE*i;3^ z=ei>7nZqpJ5EcTp^s_*um(g^XnMkC)EvsLmQwG_BzlxBA9Y_R-I#s6pcjeoa!@2ex z6lkhK@v$+PKwSkI$>9`@M5jgM3z{EVePr}z&qm$NF-21-kWPIF{M^d3{o44ILOgfC z4=97Bp9BJpn|)y2MqJ*DDU-#NB%n;egS~!U>cRQQ=-K>#|6!K@HIx1S{<|*!f9QVL ceCxWW*FM5LFI9FGwB4sqp8t;W%@05QA9w%FfB*mh literal 0 HcmV?d00001