fpga: constrs: add uart_debug_en pin

Signed-off-by: liangkangnan <liangkangnan@163.com>
pull/1/head
liangkangnan 2020-07-04 14:33:33 +08:00
parent a73b0ea36b
commit 8c751095fd
1 changed files with 8 additions and 4 deletions

View File

@ -22,13 +22,17 @@ set_property PACKAGE_PIN N16 [get_ports succ]
set_property IOSTANDARD LVCMOS33 [get_ports halted_ind]
set_property PACKAGE_PIN P15 [get_ports halted_ind]
# 串口下载使能引脚
set_property IOSTANDARD LVCMOS33 [get_ports uart_debug_pin]
set_property PACKAGE_PIN K13 [get_ports uart_debug_pin]
# 串口发送引脚
set_property IOSTANDARD LVCMOS33 [get_ports tx_pin]
set_property PACKAGE_PIN M6 [get_ports tx_pin]
set_property IOSTANDARD LVCMOS33 [get_ports uart_tx_pin]
set_property PACKAGE_PIN M6 [get_ports uart_tx_pin]
# 串口接收引脚
set_property IOSTANDARD LVCMOS33 [get_ports rx_pin]
set_property PACKAGE_PIN N6 [get_ports rx_pin]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rx_pin]
set_property PACKAGE_PIN N6 [get_ports uart_rx_pin]
# GPIO0引脚
set_property IOSTANDARD LVCMOS33 [get_ports {gpio[0]}]