diff --git a/sim/tinyriscv_soc_tb.v b/sim/tinyriscv_soc_tb.v index 1a116d6..0ff93fe 100644 --- a/sim/tinyriscv_soc_tb.v +++ b/sim/tinyriscv_soc_tb.v @@ -74,7 +74,7 @@ module tinyriscv_soc_tb; $display("~~~~~~~~~~# # # # ######~~~~~~~~~~"); $display("~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~"); $display("fail testnum = %2d", x3); - for (r = 0; r < 32; r++) + for (r = 0; r < 32; r = r + 1) $display("x%2d = 0x%x", r, tinyriscv_soc_top_0.u_tinyriscv.u_regs.regs[r]); end `endif