tinyriscv/sim/compliance_test/run.log

4 lines
218 B
Plaintext

test running...
WARNING: ..\..\tb\compliance_test\tinyriscv_soc_tb.v:502: $readmemh(inst.data): Not enough words in the file for the requested range [0:4095].
VCD info: dumpfile tinyriscv_soc_tb.vcd opened for output.