tinyriscv/fpga/constrs
liangkangnan 317061682d fpga: constrs: add uart rx pin
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-06-26 22:41:48 +08:00
..
tinyriscv.xdc fpga: constrs: add uart rx pin 2020-06-26 22:41:48 +08:00